two-pixels-per-word
Luke Wren 2021-01-21 06:10:49 +00:00
commit 34bc825558
228 zmienionych plików z 335482 dodań i 0 usunięć

1
.gitattributes vendored 100644
Wyświetl plik

@ -0,0 +1 @@
hardware linguist-generated

234
Readme.md 100644
Wyświetl plik

@ -0,0 +1,234 @@
Bitbanged DVI on the RP2040 Microcontroller
===========================================
![](img/mountains.jpg)
*640x480 RGB565 image, 640x480p 60 Hz DVI mode. 264 kB SRAM, 2x Cortex-M0+, system clock 252 MHz*
Quick links:
[Board Schematic](hardware/board/picodvi.pdf)
[Software Readme](software/)
About this Project
-----------------
This project stems from a stupid idea I had during RP2040 bringup. I couldn't convince myself the idea was too stupid to work, so I took a leap of faith on it, and the results are documented here.
RP2040 was designed to run at 133 MHz, but we found (without too much surprise) that typical silicon can be pushed further. In fact, there was overlap between the maximum system clock, and the TMDS bit clocks of slower DVI video modes. We had done great stuff with VGA on the FPGA platform, which ran at 48 MHz, but wouldn't it be absurd and wonderful to connect your microcontroller straight to an HD TV with no other electronics in between? This seemed unlikely to work out, but I stayed up at night playing around with assembly loops, and I could not convince myself that DVI was out of reach. Everything seemed to fit:
- With some of the core-local hardware on RP2040, and a neat encoding trick, I could do pixel-doubled TMDS encode on-the-fly using around 60% of an M0+ (running at 252 MHz, for 640x480p 60 Hz DVI)
- PIO can yeet out data streams at system clock frequency, and drive a 1/10th rate clock on the side, with pretty minimal programming
- Some of the DMA features are help with putting together the sync/blanking patterns on the fly, rather than having the patterns flat in memory
- With the second processor utterly unencumbered, you can render some pretty graphics to put on your DVI display. There is even enough RAM for a QVGA framebuffer!
The greatest unknown was driving 252 Mbps serial through the general-purpose digital pads (especially *differential* serial, emulated with two single-ended pads). By this point I was utterly driven and consumed by the need to find out if DVI could work, so I laid out a board over a few evenings after work.
![](img/bare_board.jpg)
The Rev A board uses a slightly cursed coupling circuit I first saw (and used) on the ULX3S FPGA board, which just connects 3V3 IOs straight into the HDMI socket through some coupling caps.
![](img/ac_coupling.png)
Those who understand the TMDS physical layer are probably screaming, but I was fine, because I did not read the electrical section of the spec until after I got this board working. Then I screamed. Before the boards arrived I did some debugging, with these two strategies:
- Run the entire system at 12 MHz (crystal freq), so that the signals are probeable, but the relative speed of IO, DMA and CPUs is the same. This makes sure my code can keep the PIO state machines fed with data
- Swap in an alternate PIO program which outputs 10 bit UART data frames instead of direct serial (a 17% drop in throughput). I could then dump the TMDS stream with a logic analyser, and examine and parse it on my machine
I also tried out my slightly harebrained TMDS encoding scheme, which matches the letter but not the spirit of the DVI specification, on an FPGA board with some DVI gateware I wrote for a previous weekend project. This confirmed that the principle was sound, and that my TV and monitor would have no trouble with the output of the matching software encoder on RP2040, provided the chip could physically shove bits out of the pins fast enough.
Because this is a home project, I didn't touch the HDL sim, and stuck to ARM debug, UART and logic analyser for my debugging. This worked some kinks out of the software, and bringup of the freshly-soldered board was smooth. After swapping the blue and red lanes into the right order -- to which I will say, in my defense, I _consistently_ thought the blue+sync lane was lane 2 -- I had a clean RGB565 QVGA 60 Hz static image on my monitor.
Improved Output Circuit
-----------------------
After reading the TMDS electrical section of the DVI spec, and staring quietly out the window for a while, wondering how this board *ever* worked, I rethought the output circuit. Eight capacitors was clearly not the way to go -- what I really needed was eight *resistors*. That's what I call a DVI PHY.
![](img/dc_coupling.png)
I also revised my earlier approach of "turn all the GPIOs up to 11", and reduced the pad drive and slew. At work on Monday, a colleague agreed it would be a great idea to plug my microcontroller monstrosity into the scope setup we use for 4k HDMI testing. Here are the results at VGA 60 Hz (252 Mbps):
![](img/eye_mask_vga60.png)
I was sitting on the other side of the lab while he was running the test, and when the eye mask appeared he just said "do you wanna see something funny".
![](img/table_vga60.png)
A clean bill of health! We also tried 720p30 (372 Mbps), which requires overvoltage on typical silicon (something you can do with one register write on RP2040):
![](img/eye_mask_720p30.png)
![](img/table_720p30.png)
Honestly, this has shaken me. This is a silly amount of bandwidth for a tiny little microcontroller.
Although it passes the eye mask and a few other tests, this circuit is not fully compliant with the DVI spec. In particular, our logic `1` is not quite right, due to the CMOS drive on the GPIOs: any more than a \~60 mV mismatch between the Source and Sink +3V3 rails will push our high-level offset outside of the +- 10 mV allowed by the spec. This is a real nitpick, because a *differential* receiver is unlikely to care about a 10 mV *commmon mode* offset, but still -- it is out of spec. A better circuit could use a fast Si diode and a smaller resistor value, e.g. 220 ohm, so that the emulated CML output floats on the sink's +3V3 supply when we output our 3V3 CMOS high level, but still sinks the requisite 10 mA when driving low.
That said, it's compliant enough that I can wander around the office and plug it into every monitor I see, and not even _one_ of them explodes (if my manager is reading this -- hi).
Going Further
-------------
Everything we have done is software defined -- there's no video hardware on this chip. That would of course be _silly_ on a microcontroller. Let's list all the hardware resources used to display a pixel-doubled image on screen:
- 3 out of 8 PIO state machines (the DVI code requires these all be on the same PIO instance, of which there are two, with four state machines each)
- 6 out of 12 DMA channels (two per TMDS lane: one for control blocks, one for data)
- 30% of DMA bandwidth and PIO bus endpoint bandwidth
- 60% of CPU cycles on one core, other core 100% free
- Just over 50% of RAM with a QVGA RGB565 image (but RGB332 support is simple enough)
- The PicoDVI board's only HDMI-shaped socket
Hmm. All of these numbers are less than half of the total, and everything else is software. It's a shame there's only one socket I can put an HDMI cable in. I mean, I guess I _do_ have these adorable PMOD-DVI adapters that I keep plugging into FPGA boards and getting away with it:
![](img/dvi-pmod.jpg)
Oh. Maybe? It fits...
![](img/two_connectors.jpg)
I guess the jig is up at this point, because of course I wouldn't post something so daft-looking if it didn't work:
![](img/two_displays.jpg)
[The code is here.](software/apps/dual_display/main.c)
Example Apps
------------
The [software readme](software/) has some example apps which put the DVI library through its paces, with pictures for some of the fun ones. I won't duplicate that content here.
Encoding TMDS
-------------
DVI uses an encoding scheme called TMDS during the video periods. 8 data bits are represented by a 10 bit TMDS symbol, which is serialised at 10x the pixel clock. 3 lanes transfer 24 bits of data per pixel clock, which for our purposes is one pixel. TMDS is DC-balanced, although DVI as a whole is *not* DC-balanced on all lanes, due to the control symbol encoding. The algorithm given in the DVI spec is quite fussy, and you are supposed to match its output exactly. It tracks running disparity with a counter, and optionally inverts symbols to bound the disparity, with some tie-break rules for 0-balance symbols.
Key fact about TMDS: if the current running disparity is 0, and you encode data `x` followed by data `x ^ 0x01`, this produces a pair of TMDS symbols with 0 net parity. If you manipulate the input data in this way -- duplicating the pixels, and twiddling the LSB -- TMDS becomes *stateless*, because the running disparity is defined to be 0 at the start of each video period, and returns to 0 after each duplicated pixel pair.
If we have a half-resolution scanline buffer, and are only interested in 7 or fewer bits of significance for each colour channel, we can encode this with a lookup table, where each entry is two TMDS symbols with net balance 0. The toggling of the LSB with each output pixel is not noticeable.
Great. LUTs are fast. On a Cortex-M0+, though, they are not *that* fast. Each load/store is 2 cycles, and we end up spending a surprising amount of time shifting and masking the data. Here is a vaguely plausible loop for encoding one colour channel, compiled with `-O3 -mcpu=cortex-m0plus` on gcc 9 ([Godbolt link](https://godbolt.org/z/q741oK)).
*C*
```
void tmds_encode_16bpp(const uint16_t *pixbuf, uint32_t *tmdsbuf, size_t n_pix, uint16_t chan_mask, unsigned int chan_shift) {
for (size_t i = 0; i < n_pix; ++i) {
unsigned int idx = (pixbuf[i] >> chan_shift) & chan_mask;
tmdsbuf[2 * i] = tmds_table[idx];
tmdsbuf[2 * i + 1] = tmds_table[idx + 1];
}
}
```
*ARMv6M*
```
tmds_encode_16bpp(unsigned short const*, unsigned long*, unsigned int, unsigned short, unsigned int):
push {r4, r5, r6, r7, lr}
ldr r7, [sp, #20]
cmp r2, #0
beq .L1
lsls r2, r2, #3
ldr r5, .L6
adds r2, r1, r2
.L4:
ldrh r4, [r0] ; 2 cyc
adds r0, r0, #2 ; 1 cyc
asrs r4, r4, r7 ; 1 cyc
ands r4, r3 ; 1 cyc
lsls r6, r4, #2 ; 1 cyc
ldr r6, [r5, r6] ; 2 cyc
adds r4, r4, #1 ; 1 cyc
str r6, [r1] ; 2 cyc
lsls r4, r4, #2 ; 1 cyc
ldr r4, [r5, r4] ; 2 cyc
str r4, [r1, #4] ; 2 cyc
adds r1, r1, #8 ; 1 cyc
cmp r2, r1 ; 1 cyc
bne .L4 ; 2 cyc if taken
.L1:
pop {r4, r5, r6, r7, pc}
```
Focusing on the loop starting at `.L4`, this is a surprisingly literal translation -- first load a pixel, bump the pointer, mask and shift (5 cycles). Next transfer a pixel from the LUT to the output buffer (5 cycles) while bumping the LUT index (1 cycle), transfer the second pixel (5 cycles), do a little bookkeeping and branch back to the start (4 cycles). Each loop takes 20 cycles, and encodes one colour channel of 2 output pixels.
We must output a pixel once per 10 system clock cycles (as the system runs at the TMDS bit clock), and since we are doubling pixels horizontally we may as well double vertically too, by using each encoded buffer twice. Taking horizontal blanking into account (1:4 ratio at VGA), we would spend 1.2 of our two cores on TMDS encode, and have 0.8 cores left to generate DVI timing and render graphics.
The compiler has messed up here (or perhaps I have unwittingly constrained it to produce bad code by writing shoddy C), and we can save 4 cycles right off the bat with better instruction selection:
```
.L4:
ldrh r4, [r0] ; 2 cyc
adds r0, r0, #2 ; 1 cyc
asrs r4, r4, r7 ; 1 cyc
ands r4, r3 ; 1 cyc
lsls r4, r4, #2 ; 1 cyc
ldr r6, [r5, r4] ; 2 cyc
stmia r1!, {r6} ; 2 cyc
adds r4, r4, #4 ; 1 cyc
ldr r6, [r5, r4] ; 2 cyc
stmia r1!, {r6} ; 2 cyc
cmp r2, r1 ; 1 cyc
bne .L4 ; 2 cyc if taken
```
But this is still painfully slow -- it wouldn't even fit on one core. Some avenues for improvement:
- Use a word load to fetch two input pixels at once, so we can amortise the load cost, and some of the shift/mask cost
- Use larger `ldmia` and `stmia` on the LUT to squeeze more memory bandwidth out of the M0+ (`ldr` is 2 cycles and `ldmia` is n + 1)
- Use the interpolators on RP2040 to accelerate address generation
The interpolator is a fun piece of hardware for accelerating fixed point arithmetic. Note: "interpolator" is a working title which we will definitely not forget to change to something better before launch. The _original_ plan was a simple configurable 2D phase accumulator that we could use to play Super Mario Kart on the FPGA platform at 48 MHz. Sadly, that game port never materialised, not least because we couldn't publish it. After a lot of back and forth between hardware and software, we realised that making the datapath a little more flexible would go a long way, and this eventually let to the current guise of the interpolator:
![](img/interpolator.png)
Our trick here is loading a one-word pixel pair into one of the accumulators, and configuring the interpolator to extract the correct bits of each pixel, shift them, and add them to a LUT base pointer. The interpolator doesn't have a left shift (not needed for Super Mario Kart) so for the blue channel (least significant in our RGB565 pixel format) we need to do one left shift per two pixels on the processor, to scale up to the LUT entry size. For the other channels we can use a different loop, without the left shift. Here is the encode loop from `tmds_encode.S`:
```
// r0: Input buffer (word-aligned)
// r1: Output buffer (word-aligned)
// r2: Input size (pixels)
// r3: Left shift amount
decl_func tmds_encode_loop_16bpp_leftshift
push {r4, r5, r6, r7, lr}
lsls r2, #3
add r2, r1
mov ip, r2
ldr r2, =(SIO_BASE + SIO_INTERP0_ACCUM0_OFFSET)
b 2f
.align 2
1:
.rept TMDS_ENCODE_UNROLL
ldmia r0!, {r4} ; 2 cyc
lsls r4, r3 ; 1 cyc
str r4, [r2, #ACCUM0_OFFS] ; 1 cyc
ldr r4, [r2, #PEEK0_OFFS] ; 1 cyc
ldmia r4, {r4, r5} ; 3 cyc
ldr r6, [r2, #PEEK1_OFFS] ; 1 cyc
ldmia r6, {r6, r7} ; 3 cyc
stmia r1!, {r4, r5, r6, r7} ; 5 cyc
.endr
2:
cmp r1, ip ; 1 cyc
bne 1b ; 2 cyc if taken
pop {r4, r5, r6, r7, pc}
```
Assuming `TMDS_ENCODE_UNROLL=1`, each loop iteration takes 20 cycles, and produces 4 output pixels. Taking the lack of left shift for red and green into account, this works out to 58% of a core to do TMDS encode, which is just over 2x faster than the compiled LUT loop. We can get another 10 or 15% performance by increasing `TMDS_ENCODE_UNROLL`.
We handle 8bpp pixels in a similar way, but use both interpolators -- one to extract pixels 0 and 1 from the loaded word, and one for pixels 2 and 3. 8bpp encode is slightly faster than 16bpp encode, because we get four pixels for each load from the pixel buffer.
It is just barely possible to do full resolution encode, which is what is shown off in the first image at the top of this Readme. The code is awful, go check it out if you hate yourself.

Wyświetl plik

@ -0,0 +1,4 @@
PicoDVI Hardware
================
This directory contains schematics and layout files

File diff suppressed because one or more lines are too long

Plik binarny nie jest wyświetlany.

Plik binarny nie jest wyświetlany.

Plik binarny nie jest wyświetlany.

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1 @@
0

Wyświetl plik

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name picodvi)(type KiCad)(uri ${KIPRJMOD}/picodvi.pretty)(options "")(descr ""))
)

Plik diff jest za duży Load Diff

Wyświetl plik

@ -0,0 +1,408 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-08-11T13:50:02+01:00*
G04 #@! TF.ProjectId,picodvi,7069636f-6476-4692-9e6b-696361645f70,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Tue 11 Aug 2020 13:50:02 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.120000*%
%ADD11C,0.100000*%
G04 APERTURE END LIST*
D10*
X214464761Y-123297904D02*
X214731428Y-122916952D01*
X214921904Y-123297904D02*
X214921904Y-122497904D01*
X214617142Y-122497904D01*
X214540952Y-122536000D01*
X214502857Y-122574095D01*
X214464761Y-122650285D01*
X214464761Y-122764571D01*
X214502857Y-122840761D01*
X214540952Y-122878857D01*
X214617142Y-122916952D01*
X214921904Y-122916952D01*
X213817142Y-123259809D02*
X213893333Y-123297904D01*
X214045714Y-123297904D01*
X214121904Y-123259809D01*
X214160000Y-123183619D01*
X214160000Y-122878857D01*
X214121904Y-122802666D01*
X214045714Y-122764571D01*
X213893333Y-122764571D01*
X213817142Y-122802666D01*
X213779047Y-122878857D01*
X213779047Y-122955047D01*
X214160000Y-123031238D01*
X213512380Y-122764571D02*
X213321904Y-123297904D01*
X213131428Y-122764571D01*
X211950476Y-122878857D02*
X211836190Y-122916952D01*
X211798095Y-122955047D01*
X211760000Y-123031238D01*
X211760000Y-123145523D01*
X211798095Y-123221714D01*
X211836190Y-123259809D01*
X211912380Y-123297904D01*
X212217142Y-123297904D01*
X212217142Y-122497904D01*
X211950476Y-122497904D01*
X211874285Y-122536000D01*
X211836190Y-122574095D01*
X211798095Y-122650285D01*
X211798095Y-122726476D01*
X211836190Y-122802666D01*
X211874285Y-122840761D01*
X211950476Y-122878857D01*
X212217142Y-122878857D01*
G04 #@! TO.C,C2*
D11*
X230116666Y-108750000D02*
X230150000Y-108783333D01*
X230250000Y-108816666D01*
X230316666Y-108816666D01*
X230416666Y-108783333D01*
X230483333Y-108716666D01*
X230516666Y-108650000D01*
X230550000Y-108516666D01*
X230550000Y-108416666D01*
X230516666Y-108283333D01*
X230483333Y-108216666D01*
X230416666Y-108150000D01*
X230316666Y-108116666D01*
X230250000Y-108116666D01*
X230150000Y-108150000D01*
X230116666Y-108183333D01*
X229850000Y-108183333D02*
X229816666Y-108150000D01*
X229750000Y-108116666D01*
X229583333Y-108116666D01*
X229516666Y-108150000D01*
X229483333Y-108183333D01*
X229450000Y-108250000D01*
X229450000Y-108316666D01*
X229483333Y-108416666D01*
X229883333Y-108816666D01*
X229450000Y-108816666D01*
G04 #@! TO.C,C3*
X232366666Y-101750000D02*
X232400000Y-101783333D01*
X232500000Y-101816666D01*
X232566666Y-101816666D01*
X232666666Y-101783333D01*
X232733333Y-101716666D01*
X232766666Y-101650000D01*
X232800000Y-101516666D01*
X232800000Y-101416666D01*
X232766666Y-101283333D01*
X232733333Y-101216666D01*
X232666666Y-101150000D01*
X232566666Y-101116666D01*
X232500000Y-101116666D01*
X232400000Y-101150000D01*
X232366666Y-101183333D01*
X232133333Y-101116666D02*
X231700000Y-101116666D01*
X231933333Y-101383333D01*
X231833333Y-101383333D01*
X231766666Y-101416666D01*
X231733333Y-101450000D01*
X231700000Y-101516666D01*
X231700000Y-101683333D01*
X231733333Y-101750000D01*
X231766666Y-101783333D01*
X231833333Y-101816666D01*
X232033333Y-101816666D01*
X232100000Y-101783333D01*
X232133333Y-101750000D01*
G04 #@! TO.C,C4*
X233866666Y-105250000D02*
X233900000Y-105283333D01*
X234000000Y-105316666D01*
X234066666Y-105316666D01*
X234166666Y-105283333D01*
X234233333Y-105216666D01*
X234266666Y-105150000D01*
X234300000Y-105016666D01*
X234300000Y-104916666D01*
X234266666Y-104783333D01*
X234233333Y-104716666D01*
X234166666Y-104650000D01*
X234066666Y-104616666D01*
X234000000Y-104616666D01*
X233900000Y-104650000D01*
X233866666Y-104683333D01*
X233266666Y-104850000D02*
X233266666Y-105316666D01*
X233433333Y-104583333D02*
X233600000Y-105083333D01*
X233166666Y-105083333D01*
G04 #@! TO.C,C5*
X231616666Y-108750000D02*
X231650000Y-108783333D01*
X231750000Y-108816666D01*
X231816666Y-108816666D01*
X231916666Y-108783333D01*
X231983333Y-108716666D01*
X232016666Y-108650000D01*
X232050000Y-108516666D01*
X232050000Y-108416666D01*
X232016666Y-108283333D01*
X231983333Y-108216666D01*
X231916666Y-108150000D01*
X231816666Y-108116666D01*
X231750000Y-108116666D01*
X231650000Y-108150000D01*
X231616666Y-108183333D01*
X230983333Y-108116666D02*
X231316666Y-108116666D01*
X231350000Y-108450000D01*
X231316666Y-108416666D01*
X231250000Y-108383333D01*
X231083333Y-108383333D01*
X231016666Y-108416666D01*
X230983333Y-108450000D01*
X230950000Y-108516666D01*
X230950000Y-108683333D01*
X230983333Y-108750000D01*
X231016666Y-108783333D01*
X231083333Y-108816666D01*
X231250000Y-108816666D01*
X231316666Y-108783333D01*
X231350000Y-108750000D01*
G04 #@! TO.C,C6*
X228366666Y-107500000D02*
X228400000Y-107533333D01*
X228500000Y-107566666D01*
X228566666Y-107566666D01*
X228666666Y-107533333D01*
X228733333Y-107466666D01*
X228766666Y-107400000D01*
X228800000Y-107266666D01*
X228800000Y-107166666D01*
X228766666Y-107033333D01*
X228733333Y-106966666D01*
X228666666Y-106900000D01*
X228566666Y-106866666D01*
X228500000Y-106866666D01*
X228400000Y-106900000D01*
X228366666Y-106933333D01*
X227766666Y-106866666D02*
X227900000Y-106866666D01*
X227966666Y-106900000D01*
X228000000Y-106933333D01*
X228066666Y-107033333D01*
X228100000Y-107166666D01*
X228100000Y-107433333D01*
X228066666Y-107500000D01*
X228033333Y-107533333D01*
X227966666Y-107566666D01*
X227833333Y-107566666D01*
X227766666Y-107533333D01*
X227733333Y-107500000D01*
X227700000Y-107433333D01*
X227700000Y-107266666D01*
X227733333Y-107200000D01*
X227766666Y-107166666D01*
X227833333Y-107133333D01*
X227966666Y-107133333D01*
X228033333Y-107166666D01*
X228066666Y-107200000D01*
X228100000Y-107266666D01*
G04 #@! TO.C,C8*
X229351666Y-101750000D02*
X229385000Y-101783333D01*
X229485000Y-101816666D01*
X229551666Y-101816666D01*
X229651666Y-101783333D01*
X229718333Y-101716666D01*
X229751666Y-101650000D01*
X229785000Y-101516666D01*
X229785000Y-101416666D01*
X229751666Y-101283333D01*
X229718333Y-101216666D01*
X229651666Y-101150000D01*
X229551666Y-101116666D01*
X229485000Y-101116666D01*
X229385000Y-101150000D01*
X229351666Y-101183333D01*
X228951666Y-101416666D02*
X229018333Y-101383333D01*
X229051666Y-101350000D01*
X229085000Y-101283333D01*
X229085000Y-101250000D01*
X229051666Y-101183333D01*
X229018333Y-101150000D01*
X228951666Y-101116666D01*
X228818333Y-101116666D01*
X228751666Y-101150000D01*
X228718333Y-101183333D01*
X228685000Y-101250000D01*
X228685000Y-101283333D01*
X228718333Y-101350000D01*
X228751666Y-101383333D01*
X228818333Y-101416666D01*
X228951666Y-101416666D01*
X229018333Y-101450000D01*
X229051666Y-101483333D01*
X229085000Y-101550000D01*
X229085000Y-101683333D01*
X229051666Y-101750000D01*
X229018333Y-101783333D01*
X228951666Y-101816666D01*
X228818333Y-101816666D01*
X228751666Y-101783333D01*
X228718333Y-101750000D01*
X228685000Y-101683333D01*
X228685000Y-101550000D01*
X228718333Y-101483333D01*
X228751666Y-101450000D01*
X228818333Y-101416666D01*
G04 #@! TO.C,C9*
X230866666Y-101750000D02*
X230900000Y-101783333D01*
X231000000Y-101816666D01*
X231066666Y-101816666D01*
X231166666Y-101783333D01*
X231233333Y-101716666D01*
X231266666Y-101650000D01*
X231300000Y-101516666D01*
X231300000Y-101416666D01*
X231266666Y-101283333D01*
X231233333Y-101216666D01*
X231166666Y-101150000D01*
X231066666Y-101116666D01*
X231000000Y-101116666D01*
X230900000Y-101150000D01*
X230866666Y-101183333D01*
X230533333Y-101816666D02*
X230400000Y-101816666D01*
X230333333Y-101783333D01*
X230300000Y-101750000D01*
X230233333Y-101650000D01*
X230200000Y-101516666D01*
X230200000Y-101250000D01*
X230233333Y-101183333D01*
X230266666Y-101150000D01*
X230333333Y-101116666D01*
X230466666Y-101116666D01*
X230533333Y-101150000D01*
X230566666Y-101183333D01*
X230600000Y-101250000D01*
X230600000Y-101416666D01*
X230566666Y-101483333D01*
X230533333Y-101516666D01*
X230466666Y-101550000D01*
X230333333Y-101550000D01*
X230266666Y-101516666D01*
X230233333Y-101483333D01*
X230200000Y-101416666D01*
G04 #@! TO.C,R7*
X236116666Y-113066666D02*
X236350000Y-112733333D01*
X236516666Y-113066666D02*
X236516666Y-112366666D01*
X236250000Y-112366666D01*
X236183333Y-112400000D01*
X236150000Y-112433333D01*
X236116666Y-112500000D01*
X236116666Y-112600000D01*
X236150000Y-112666666D01*
X236183333Y-112700000D01*
X236250000Y-112733333D01*
X236516666Y-112733333D01*
X235883333Y-112366666D02*
X235416666Y-112366666D01*
X235716666Y-113066666D01*
G04 #@! TO.C,R8*
X237366666Y-113066666D02*
X237600000Y-112733333D01*
X237766666Y-113066666D02*
X237766666Y-112366666D01*
X237500000Y-112366666D01*
X237433333Y-112400000D01*
X237400000Y-112433333D01*
X237366666Y-112500000D01*
X237366666Y-112600000D01*
X237400000Y-112666666D01*
X237433333Y-112700000D01*
X237500000Y-112733333D01*
X237766666Y-112733333D01*
X236966666Y-112666666D02*
X237033333Y-112633333D01*
X237066666Y-112600000D01*
X237100000Y-112533333D01*
X237100000Y-112500000D01*
X237066666Y-112433333D01*
X237033333Y-112400000D01*
X236966666Y-112366666D01*
X236833333Y-112366666D01*
X236766666Y-112400000D01*
X236733333Y-112433333D01*
X236700000Y-112500000D01*
X236700000Y-112533333D01*
X236733333Y-112600000D01*
X236766666Y-112633333D01*
X236833333Y-112666666D01*
X236966666Y-112666666D01*
X237033333Y-112700000D01*
X237066666Y-112733333D01*
X237100000Y-112800000D01*
X237100000Y-112933333D01*
X237066666Y-113000000D01*
X237033333Y-113033333D01*
X236966666Y-113066666D01*
X236833333Y-113066666D01*
X236766666Y-113033333D01*
X236733333Y-113000000D01*
X236700000Y-112933333D01*
X236700000Y-112800000D01*
X236733333Y-112733333D01*
X236766666Y-112700000D01*
X236833333Y-112666666D01*
G04 #@! TO.C,R9*
X238616666Y-113066666D02*
X238850000Y-112733333D01*
X239016666Y-113066666D02*
X239016666Y-112366666D01*
X238750000Y-112366666D01*
X238683333Y-112400000D01*
X238650000Y-112433333D01*
X238616666Y-112500000D01*
X238616666Y-112600000D01*
X238650000Y-112666666D01*
X238683333Y-112700000D01*
X238750000Y-112733333D01*
X239016666Y-112733333D01*
X238283333Y-113066666D02*
X238150000Y-113066666D01*
X238083333Y-113033333D01*
X238050000Y-113000000D01*
X237983333Y-112900000D01*
X237950000Y-112766666D01*
X237950000Y-112500000D01*
X237983333Y-112433333D01*
X238016666Y-112400000D01*
X238083333Y-112366666D01*
X238216666Y-112366666D01*
X238283333Y-112400000D01*
X238316666Y-112433333D01*
X238350000Y-112500000D01*
X238350000Y-112666666D01*
X238316666Y-112733333D01*
X238283333Y-112766666D01*
X238216666Y-112800000D01*
X238083333Y-112800000D01*
X238016666Y-112766666D01*
X237983333Y-112733333D01*
X237950000Y-112666666D01*
G04 #@! TD*
M02*

Plik diff jest za duży Load Diff

Wyświetl plik

@ -0,0 +1,40 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-08-11T13:50:02+01:00*
G04 #@! TF.ProjectId,picodvi,7069636f-6476-4692-9e6b-696361645f70,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Tue 11 Aug 2020 13:50:02 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X250000000Y-122000000D02*
G75*
G02X247000000Y-125000000I-3000000J0D01*
G01*
X247000000Y-85000000D02*
G75*
G02X250000000Y-88000000I0J-3000000D01*
G01*
X200000000Y-88000000D02*
G75*
G02X203000000Y-85000000I3000000J0D01*
G01*
X203000000Y-125000000D02*
G75*
G02X200000000Y-122000000I0J3000000D01*
G01*
X247000000Y-125000000D02*
X203000000Y-125000000D01*
X250000000Y-88000000D02*
X250000000Y-122000000D01*
X203000000Y-85000000D02*
X247000000Y-85000000D01*
X200000000Y-122000000D02*
X200000000Y-88000000D01*
M02*

Wyświetl plik

@ -0,0 +1,39 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.5+dfsg1-2build2*
G04 #@! TF.CreationDate,2020-11-18T17:14:58+00:00*
G04 #@! TF.ProjectId,picodvi,7069636f-6476-4692-9e6b-696361645f70,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.5+dfsg1-2build2) date 2020-11-18 17:14:58*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X250000000Y-112000000D02*
G75*
G02X247000000Y-115000000I-3000000J0D01*
G01*
X247000000Y-85000000D02*
G75*
G02X250000000Y-88000000I0J-3000000D01*
G01*
X200000000Y-88000000D02*
G75*
G02X203000000Y-85000000I3000000J0D01*
G01*
X203000000Y-115000000D02*
G75*
G02X200000000Y-112000000I0J3000000D01*
G01*
X247000000Y-115000000D02*
X203000000Y-115000000D01*
X250000000Y-88000000D02*
X250000000Y-112000000D01*
X203000000Y-85000000D02*
X247000000Y-85000000D01*
X200000000Y-112000000D02*
X200000000Y-88000000D01*
M02*

Plik diff jest za duży Load Diff

Plik diff jest za duży Load Diff

Wyświetl plik

@ -0,0 +1,710 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Wed Nov 18 17:15:00 2020
%%Title: /home/luke/proj/picodvi/hardware/board/gerb/picodvi-NPTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend
%%BoundingBox: 0 0 596 842
%%DocumentMedia: A4 595 842 0 () ()
%%Orientation: Landscape
%%EndComments
%%BeginProlog
/line { newpath moveto lineto stroke } bind def
/cir0 { newpath 0 360 arc stroke } bind def
/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def
/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def
/arc0 { newpath arc stroke } bind def
/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill
grestore stroke } bind def
/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill
grestore stroke } bind def
/poly0 { stroke } bind def
/poly1 { closepath gsave fill grestore stroke } bind def
/poly2 { closepath gsave fill grestore stroke } bind def
/rect0 { rectstroke } bind def
/rect1 { rectfill } bind def
/rect2 { rectfill } bind def
/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def
/linemode1 { 1 setlinecap 1 setlinejoin } bind def
/dashedline { [200] 100 setdash } bind def
/solidline { [] 0 setdash } bind def
/phantomshow { moveto
/KicadFont findfont 0.000001 scalefont setfont
show } bind def
/textshow { gsave
findfont exch scalefont setfont concat 1 scale 0 0 moveto show
} bind def
/reencodefont {
findfont dup length dict begin
{ 1 index /FID ne
{ def }
{ pop pop } ifelse
} forall
/Encoding ISOLatin1Encoding def
currentdict
end } bind def
/KicadFont /Helvetica reencodefont definefont pop
/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop
/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop
/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop
%%EndProlog
%%Page: 1 1
%%BeginPageSetup
gsave
0.0072 0.0072 scale
linemode1
82680 0 translate 90 rotate
150 setlinewidth
%%EndPageSetup
0 0 0 setrgbcolor
177.165 setlinewidth
84449.3 43702.8 3543.31 -90 -0 arc0
0 0 0 setrgbcolor
84449.3 72049.2 3543.31 -0 90 arc0
0 0 0 setrgbcolor
32480.7 72049.2 3543.31 90 180 arc0
0 0 0 setrgbcolor
32480.7 43702.8 3543.31 180 270 arc0
0 0 0 setrgbcolor
newpath
84449.3 40159.5 moveto
32480.7 40159.5 lineto
stroke
0 0 0 setrgbcolor
newpath
87992.6 72049.2 moveto
87992.6 43702.8 lineto
stroke
0 0 0 setrgbcolor
newpath
32480.7 75592.5 moveto
84449.3 75592.5 lineto
stroke
0 0 0 setrgbcolor
newpath
28937.4 43702.8 moveto
28937.4 72049.2 lineto
stroke
78.7394 setlinewidth
newpath
83622.5 44529.5 moveto
86457.1 41694.9 lineto
stroke
newpath
86457.1 44529.5 moveto
83622.5 41694.9 lineto
stroke
newpath
83622.5 74057.1 moveto
86457.1 71222.5 lineto
stroke
newpath
86457.1 74057.1 moveto
83622.5 71222.5 lineto
stroke
newpath
30472.9 74057.1 moveto
33307.5 71222.5 lineto
stroke
newpath
33307.5 74057.1 moveto
30472.9 71222.5 lineto
stroke
newpath
30472.9 44529.5 moveto
33307.5 41694.9 lineto
stroke
newpath
33307.5 44529.5 moveto
30472.9 41694.9 lineto
stroke
118.11 setlinewidth
0 0 0 setrgbcolor
0 0 0 setrgbcolor
newpath
29976.5 38237.4 moveto
29976.5 39418.5 lineto
30257.7 39418.5 lineto
30426.5 39362.2 lineto
30539 39249.7 lineto
30595.2 39137.2 lineto
30651.4 38912.3 lineto
30651.4 38743.5 lineto
30595.2 38518.6 lineto
30539 38406.1 lineto
30426.5 38293.6 lineto
30257.7 38237.4 lineto
29976.5 38237.4 lineto
stroke
newpath
31157.6 38237.4 moveto
31157.6 39024.8 lineto
stroke
newpath
31157.6 38799.8 moveto
31213.9 38912.3 lineto
31270.1 38968.5 lineto
31382.6 39024.8 lineto
31495.1 39024.8 lineto
stroke
newpath
31888.8 38237.4 moveto
31888.8 39024.8 lineto
stroke
newpath
31888.8 39418.5 moveto
31832.5 39362.2 lineto
31888.8 39306 lineto
31945 39362.2 lineto
31888.8 39418.5 lineto
31888.8 39306 lineto
stroke
newpath
32619.9 38237.4 moveto
32507.5 38293.6 lineto
32451.2 38406.1 lineto
32451.2 39418.5 lineto
stroke
newpath
33238.6 38237.4 moveto
33126.1 38293.6 lineto
33069.9 38406.1 lineto
33069.9 39418.5 lineto
stroke
newpath
34588.5 38237.4 moveto
34588.5 39418.5 lineto
34982.2 38574.8 lineto
35375.9 39418.5 lineto
35375.9 38237.4 lineto
stroke
newpath
36444.5 38237.4 moveto
36444.5 38856 lineto
36388.2 38968.5 lineto
36275.7 39024.8 lineto
36050.8 39024.8 lineto
35938.3 38968.5 lineto
stroke
newpath
36444.5 38293.6 moveto
36332 38237.4 lineto
36050.8 38237.4 lineto
35938.3 38293.6 lineto
35882 38406.1 lineto
35882 38518.6 lineto
35938.3 38631.1 lineto
36050.8 38687.3 lineto
36332 38687.3 lineto
36444.5 38743.5 lineto
stroke
newpath
37006.9 39024.8 moveto
37006.9 37843.7 lineto
stroke
newpath
37006.9 38968.5 moveto
37119.4 39024.8 lineto
37344.4 39024.8 lineto
37456.8 38968.5 lineto
37513.1 38912.3 lineto
37569.3 38799.8 lineto
37569.3 38462.3 lineto
37513.1 38349.8 lineto
37456.8 38293.6 lineto
37344.4 38237.4 lineto
37119.4 38237.4 lineto
37006.9 38293.6 lineto
stroke
newpath
38075.5 38349.8 moveto
38131.8 38293.6 lineto
38075.5 38237.4 lineto
38019.3 38293.6 lineto
38075.5 38349.8 lineto
38075.5 38237.4 lineto
stroke
newpath
38075.5 38968.5 moveto
38131.8 38912.3 lineto
38075.5 38856 lineto
38019.3 38912.3 lineto
38075.5 38968.5 lineto
38075.5 38856 lineto
stroke
newpath
26014.2 37708.7 moveto
28848.9 34874 lineto
stroke
newpath
28848.9 37708.7 moveto
26014.2 34874 lineto
stroke
0 0 0 setrgbcolor
0 0 0 setrgbcolor
newpath
29920.3 36825.7 moveto
29976.5 36881.9 lineto
30089 36938.1 lineto
30370.2 36938.1 lineto
30482.7 36881.9 lineto
30539 36825.7 lineto
30595.2 36713.2 lineto
30595.2 36600.7 lineto
30539 36432 lineto
29864 35757 lineto
30595.2 35757 lineto
stroke
newpath
31101.4 35869.5 moveto
31157.6 35813.3 lineto
31101.4 35757 lineto
31045.1 35813.3 lineto
31101.4 35869.5 lineto
31101.4 35757 lineto
stroke
newpath
32170 36544.4 moveto
32170 35757 lineto
stroke
newpath
31888.8 36994.4 moveto
31607.6 36150.7 lineto
32338.7 36150.7 lineto
stroke
newpath
33013.6 36938.1 moveto
33126.1 36938.1 lineto
33238.6 36881.9 lineto
33294.9 36825.7 lineto
33351.1 36713.2 lineto
33407.4 36488.2 lineto
33407.4 36207 lineto
33351.1 35982 lineto
33294.9 35869.5 lineto
33238.6 35813.3 lineto
33126.1 35757 lineto
33013.6 35757 lineto
32901.2 35813.3 lineto
32844.9 35869.5 lineto
32788.7 35982 lineto
32732.4 36207 lineto
32732.4 36488.2 lineto
32788.7 36713.2 lineto
32844.9 36825.7 lineto
32901.2 36881.9 lineto
33013.6 36938.1 lineto
stroke
newpath
33913.5 35757 moveto
33913.5 36544.4 lineto
stroke
newpath
33913.5 36432 moveto
33969.8 36488.2 lineto
34082.3 36544.4 lineto
34251 36544.4 lineto
34363.5 36488.2 lineto
34419.7 36375.7 lineto
34419.7 35757 lineto
stroke
newpath
34419.7 36375.7 moveto
34476 36488.2 lineto
34588.5 36544.4 lineto
34757.2 36544.4 lineto
34869.7 36488.2 lineto
34925.9 36375.7 lineto
34925.9 35757 lineto
stroke
newpath
35488.3 35757 moveto
35488.3 36544.4 lineto
stroke
newpath
35488.3 36432 moveto
35544.6 36488.2 lineto
35657.1 36544.4 lineto
35825.8 36544.4 lineto
35938.3 36488.2 lineto
35994.5 36375.7 lineto
35994.5 35757 lineto
stroke
newpath
35994.5 36375.7 moveto
36050.8 36488.2 lineto
36163.3 36544.4 lineto
36332 36544.4 lineto
36444.5 36488.2 lineto
36500.7 36375.7 lineto
36500.7 35757 lineto
stroke
newpath
38806.7 36994.4 moveto
37794.3 35475.8 lineto
stroke
newpath
40325.2 36938.1 moveto
40437.7 36938.1 lineto
40550.2 36881.9 lineto
40606.5 36825.7 lineto
40662.7 36713.2 lineto
40718.9 36488.2 lineto
40718.9 36207 lineto
40662.7 35982 lineto
40606.5 35869.5 lineto
40550.2 35813.3 lineto
40437.7 35757 lineto
40325.2 35757 lineto
40212.7 35813.3 lineto
40156.5 35869.5 lineto
40100.3 35982 lineto
40044 36207 lineto
40044 36488.2 lineto
40100.3 36713.2 lineto
40156.5 36825.7 lineto
40212.7 36881.9 lineto
40325.2 36938.1 lineto
stroke
newpath
41225.1 35869.5 moveto
41281.4 35813.3 lineto
41225.1 35757 lineto
41168.9 35813.3 lineto
41225.1 35869.5 lineto
41225.1 35757 lineto
stroke
newpath
42012.5 36938.1 moveto
42125 36938.1 lineto
42237.5 36881.9 lineto
42293.7 36825.7 lineto
42350 36713.2 lineto
42406.2 36488.2 lineto
42406.2 36207 lineto
42350 35982 lineto
42293.7 35869.5 lineto
42237.5 35813.3 lineto
42125 35757 lineto
42012.5 35757 lineto
41900 35813.3 lineto
41843.8 35869.5 lineto
41787.6 35982 lineto
41731.3 36207 lineto
41731.3 36488.2 lineto
41787.6 36713.2 lineto
41843.8 36825.7 lineto
41900 36881.9 lineto
42012.5 36938.1 lineto
stroke
newpath
42968.7 35757 moveto
43193.6 35757 lineto
43306.1 35813.3 lineto
43362.4 35869.5 lineto
43474.8 36038.3 lineto
43531.1 36263.2 lineto
43531.1 36713.2 lineto
43474.8 36825.7 lineto
43418.6 36881.9 lineto
43306.1 36938.1 lineto
43081.1 36938.1 lineto
42968.7 36881.9 lineto
42912.4 36825.7 lineto
42856.2 36713.2 lineto
42856.2 36432 lineto
42912.4 36319.5 lineto
42968.7 36263.2 lineto
43081.1 36207 lineto
43306.1 36207 lineto
43418.6 36263.2 lineto
43474.8 36319.5 lineto
43531.1 36432 lineto
stroke
newpath
44543.5 36544.4 moveto
44543.5 35757 lineto
stroke
newpath
44262.2 36994.4 moveto
43981 36150.7 lineto
44712.2 36150.7 lineto
stroke
newpath
45105.9 36938.1 moveto
45105.9 36713.2 lineto
stroke
newpath
45555.8 36938.1 moveto
45555.8 36713.2 lineto
stroke
newpath
47299.4 35307.1 moveto
47243.1 35363.3 lineto
47130.6 35532.1 lineto
47074.4 35644.6 lineto
47018.1 35813.3 lineto
46961.9 36094.5 lineto
46961.9 36319.5 lineto
47018.1 36600.7 lineto
47074.4 36769.4 lineto
47130.6 36881.9 lineto
47243.1 37050.6 lineto
47299.4 37106.9 lineto
stroke
newpath
48255.5 36544.4 moveto
48255.5 35757 lineto
stroke
newpath
47974.3 36994.4 moveto
47693.1 36150.7 lineto
48424.2 36150.7 lineto
stroke
newpath
49774.1 35757 moveto
49774.1 36938.1 lineto
stroke
newpath
50280.2 35757 moveto
50280.2 36375.7 lineto
50224 36488.2 lineto
50111.5 36544.4 lineto
49942.8 36544.4 lineto
49830.3 36488.2 lineto
49774.1 36432 lineto
stroke
newpath
51011.4 35757 moveto
50898.9 35813.3 lineto
50842.7 35869.5 lineto
50786.4 35982 lineto
50786.4 36319.5 lineto
50842.7 36432 lineto
50898.9 36488.2 lineto
51011.4 36544.4 lineto
51180.1 36544.4 lineto
51292.6 36488.2 lineto
51348.9 36432 lineto
51405.1 36319.5 lineto
51405.1 35982 lineto
51348.9 35869.5 lineto
51292.6 35813.3 lineto
51180.1 35757 lineto
51011.4 35757 lineto
stroke
newpath
52080 35757 moveto
51967.5 35813.3 lineto
51911.3 35925.8 lineto
51911.3 36938.1 lineto
stroke
newpath
52979.9 35813.3 moveto
52867.4 35757 lineto
52642.4 35757 lineto
52530 35813.3 lineto
52473.7 35925.8 lineto
52473.7 36375.7 lineto
52530 36488.2 lineto
52642.4 36544.4 lineto
52867.4 36544.4 lineto
52979.9 36488.2 lineto
53036.1 36375.7 lineto
53036.1 36263.2 lineto
52473.7 36150.7 lineto
stroke
newpath
53486.1 35813.3 moveto
53598.6 35757 lineto
53823.5 35757 lineto
53936 35813.3 lineto
53992.3 35925.8 lineto
53992.3 35982 lineto
53936 36094.5 lineto
53823.5 36150.7 lineto
53654.8 36150.7 lineto
53542.3 36207 lineto
53486.1 36319.5 lineto
53486.1 36375.7 lineto
53542.3 36488.2 lineto
53654.8 36544.4 lineto
53823.5 36544.4 lineto
53936 36488.2 lineto
stroke
newpath
54386 35307.1 moveto
54442.2 35363.3 lineto
54554.7 35532.1 lineto
54610.9 35644.6 lineto
54667.2 35813.3 lineto
54723.4 36094.5 lineto
54723.4 36319.5 lineto
54667.2 36600.7 lineto
54610.9 36769.4 lineto
54554.7 36881.9 lineto
54442.2 37050.6 lineto
54386 37106.9 lineto
stroke
newpath
56523.2 35307.1 moveto
56467 35363.3 lineto
56354.5 35532.1 lineto
56298.2 35644.6 lineto
56242 35813.3 lineto
56185.8 36094.5 lineto
56185.8 36319.5 lineto
56242 36600.7 lineto
56298.2 36769.4 lineto
56354.5 36881.9 lineto
56467 37050.6 lineto
56523.2 37106.9 lineto
stroke
newpath
56973.2 36544.4 moveto
56973.2 35757 lineto
stroke
newpath
56973.2 36432 moveto
57029.4 36488.2 lineto
57141.9 36544.4 lineto
57310.6 36544.4 lineto
57423.1 36488.2 lineto
57479.3 36375.7 lineto
57479.3 35757 lineto
stroke
newpath
58210.5 35757 moveto
58098 35813.3 lineto
58041.8 35869.5 lineto
57985.5 35982 lineto
57985.5 36319.5 lineto
58041.8 36432 lineto
58098 36488.2 lineto
58210.5 36544.4 lineto
58379.2 36544.4 lineto
58491.7 36488.2 lineto
58548 36432 lineto
58604.2 36319.5 lineto
58604.2 35982 lineto
58548 35869.5 lineto
58491.7 35813.3 lineto
58379.2 35757 lineto
58210.5 35757 lineto
stroke
newpath
58941.7 36544.4 moveto
59391.6 36544.4 lineto
stroke
newpath
59110.4 36938.1 moveto
59110.4 35925.8 lineto
59166.6 35813.3 lineto
59279.1 35757 lineto
59391.6 35757 lineto
stroke
newpath
60685.2 36544.4 moveto
60685.2 35363.3 lineto
stroke
newpath
60685.2 36488.2 moveto
60797.7 36544.4 lineto
61022.6 36544.4 lineto
61135.1 36488.2 lineto
61191.4 36432 lineto
61247.6 36319.5 lineto
61247.6 35982 lineto
61191.4 35869.5 lineto
61135.1 35813.3 lineto
61022.6 35757 lineto
60797.7 35757 lineto
60685.2 35813.3 lineto
stroke
newpath
61922.5 35757 moveto
61810 35813.3 lineto
61753.8 35925.8 lineto
61753.8 36938.1 lineto
stroke
newpath
62878.7 35757 moveto
62878.7 36375.7 lineto
62822.4 36488.2 lineto
62709.9 36544.4 lineto
62485 36544.4 lineto
62372.5 36488.2 lineto
stroke
newpath
62878.7 35813.3 moveto
62766.2 35757 lineto
62485 35757 lineto
62372.5 35813.3 lineto
62316.2 35925.8 lineto
62316.2 36038.3 lineto
62372.5 36150.7 lineto
62485 36207 lineto
62766.2 36207 lineto
62878.7 36263.2 lineto
stroke
newpath
63272.4 36544.4 moveto
63722.3 36544.4 lineto
stroke
newpath
63441.1 36938.1 moveto
63441.1 35925.8 lineto
63497.3 35813.3 lineto
63609.8 35757 lineto
63722.3 35757 lineto
stroke
newpath
64566 35813.3 moveto
64453.5 35757 lineto
64228.5 35757 lineto
64116 35813.3 lineto
64059.8 35925.8 lineto
64059.8 36375.7 lineto
64116 36488.2 lineto
64228.5 36544.4 lineto
64453.5 36544.4 lineto
64566 36488.2 lineto
64622.2 36375.7 lineto
64622.2 36263.2 lineto
64059.8 36150.7 lineto
stroke
newpath
65634.6 35757 moveto
65634.6 36938.1 lineto
stroke
newpath
65634.6 35813.3 moveto
65522.1 35757 lineto
65297.1 35757 lineto
65184.6 35813.3 lineto
65128.4 35869.5 lineto
65072.1 35982 lineto
65072.1 36319.5 lineto
65128.4 36432 lineto
65184.6 36488.2 lineto
65297.1 36544.4 lineto
65522.1 36544.4 lineto
65634.6 36488.2 lineto
stroke
newpath
66084.5 35307.1 moveto
66140.8 35363.3 lineto
66253.2 35532.1 lineto
66309.5 35644.6 lineto
66365.7 35813.3 lineto
66422 36094.5 lineto
66422 36319.5 lineto
66365.7 36600.7 lineto
66309.5 36769.4 lineto
66253.2 36881.9 lineto
66140.8 37050.6 lineto
66084.5 37106.9 lineto
stroke
showpage
grestore
%%EOF

Wyświetl plik

@ -0,0 +1,19 @@
M48
; DRILL file {KiCad 5.1.5+dfsg1-2build2} date Wed 18 Nov 2020 17:15:01 GMT
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2020-11-18T17:15:01+00:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.5+dfsg1-2build2
; #@! TF.FileFunction,NonPlated,1,4,NPTH
FMAT,2
INCH
T1C0.0945
%
G90
G05
T1
X9.7441Y-4.4291
X9.7441Y-3.4449
X7.9724Y-3.4449
X7.9724Y-4.4291
T0
M30

Wyświetl plik

@ -0,0 +1,196 @@
M48
; DRILL file {KiCad 5.1.5+dfsg1-2build2} date Wed 18 Nov 2020 17:15:01 GMT
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2020-11-18T17:15:01+00:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.5+dfsg1-2build2
; #@! TF.FileFunction,Plated,1,4,PTH
FMAT,2
INCH
T1C0.0079
T2C0.0236
T3C0.0335
T4C0.0394
T5C0.0512
%
G90
G05
T1
X7.8937Y-3.4843
X7.8937Y-4.3898
X8.0118Y-3.3661
X8.0118Y-4.5079
X8.0815Y-4.0661
X8.0982Y-3.797
X8.1142Y-3.6083
X8.1144Y-3.8132
X8.1398Y-3.8268
X8.1693Y-3.8563
X8.2323Y-3.7539
X8.2323Y-3.8898
X8.2854Y-3.8248
X8.2854Y-3.8622
X8.315Y-3.5472
X8.3768Y-4.2969
X8.3878Y-3.7677
X8.3878Y-3.8543
X8.4114Y-3.5472
X8.4193Y-3.7677
X8.4193Y-3.8543
X8.4606Y-3.5807
X8.4764Y-4.2972
X8.5035Y-4.1555
X8.522Y-4.1937
X8.5291Y-4.1575
X8.5335Y-3.874
X8.5524Y-4.1398
X8.5677Y-4.1154
X8.5776Y-3.6555
X8.578Y-4.2957
X8.5866Y-3.8268
X8.598Y-4.1756
X8.6268Y-4.1201
X8.6697Y-4.3047
X8.6846Y-4.1795
X8.6969Y-3.9154
X8.7138Y-4.0791
X8.7169Y-4.1831
X8.7201Y-4.0465
X8.7244Y-3.8705
X8.7244Y-3.8933
X8.7433Y-4.1846
X8.748Y-3.8484
X8.7531Y-3.9894
X8.7535Y-3.9661
X8.785Y-3.9843
X8.785Y-4.0626
X8.785Y-4.126
X8.8248Y-3.8484
X8.8327Y-3.9291
X8.8835Y-3.9732
X8.8835Y-4.1366
X8.8937Y-3.7736
X8.8996Y-3.8307
X8.9213Y-3.8012
X8.935Y-4.1378
X8.9543Y-3.6445
X8.9783Y-3.8031
X8.9907Y-3.6275
X8.9941Y-3.8327
X9.0063Y-3.5953
X9.0098Y-3.8465
X9.0143Y-3.6274
X9.0315Y-3.8642
X9.0332Y-3.6131
X9.0487Y-3.5953
X9.0512Y-3.8917
X9.065Y-3.7598
X9.0669Y-3.9055
X9.0815Y-4.0071
X9.0906Y-3.685
X9.0945Y-3.8091
X9.1043Y-3.9449
X9.1043Y-3.9803
X9.1094Y-3.5197
X9.1142Y-3.7894
X9.1256Y-3.6713
X9.1339Y-4.0079
X9.1378Y-3.4898
X9.1437Y-3.6909
X9.1437Y-3.8386
X9.1516Y-4.065
X9.1589Y-4.1383
X9.1633Y-4.0922
X9.1638Y-3.7061
X9.1668Y-4.1155
X9.1909Y-3.7441
X9.2098Y-3.6539
X9.2106Y-3.8228
X9.2606Y-3.6957
X9.2618Y-3.9114
X9.2697Y-4.2047
X9.2717Y-3.611
X9.2972Y-4.376
X9.3228Y-4.0787
X9.3272Y-3.6579
X9.3425Y-3.5874
X9.3425Y-3.9587
X9.3626Y-3.9933
X9.3752Y-3.5555
X9.378Y-3.9665
X9.3803Y-3.6319
X9.3917Y-4.3839
X9.3976Y-4.2331
X9.4297Y-3.9886
X9.438Y-3.9213
X9.4386Y-3.6799
X9.4536Y-3.9874
X9.4596Y-4.0413
X9.4636Y-3.7106
X9.4636Y-3.7697
X9.4636Y-3.8287
X9.4636Y-3.8878
X9.4685Y-4.0059
X9.4724Y-4.3996
X9.4902Y-4.2244
X9.561Y-3.7106
X9.561Y-3.7697
X9.561Y-3.8287
X9.561Y-3.8878
X9.5669Y-4.0059
X9.7047Y-3.3661
X9.7047Y-4.5079
X9.8228Y-3.4843
X9.8228Y-4.3898
T3
X8.0399Y-3.7402
X8.0399Y-3.937
T4
X9.2026Y-3.4055
X9.3026Y-3.4055
X9.4026Y-3.4055
X9.5026Y-3.4055
X9.6026Y-3.4055
X8.149Y-4.254
X8.149Y-4.354
X8.249Y-4.254
X8.249Y-4.354
X8.349Y-4.254
X8.349Y-4.354
X8.449Y-4.254
X8.449Y-4.354
X8.549Y-4.254
X8.549Y-4.354
X8.649Y-4.254
X8.649Y-4.354
X8.855Y-4.36
X8.855Y-4.46
X9.0494Y-4.254
X9.0494Y-4.354
X9.1494Y-4.254
X9.1494Y-4.354
X9.2494Y-4.254
X9.2494Y-4.354
X9.3494Y-4.254
X9.3494Y-4.354
X9.4494Y-4.254
X9.4494Y-4.354
X9.5494Y-4.254
X9.5494Y-4.354
T5
X9.5472Y-3.5925
X9.5472Y-4.1634
X9.7402Y-3.5689
X9.7402Y-4.187
T2
G00X7.9198Y-3.7008
M15
G01X7.9473Y-3.7008
M16
G05
G00X7.9473Y-3.9764
M15
G01X7.9198Y-3.9764
M16
G05
T0
M30

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,183 @@
M48
;DRILL file {KiCad 5.0.2-bee76a0~70~ubuntu18.04.1} date Tue 11 Aug 2020 13:49:40 BST
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
T1C0.0079
T2C0.0118
T3C0.0236
T4C0.0335
T5C0.0394
T6C0.0512
T7C0.0945
%
G90
G05
T1
X7.9134Y-3.5433
X7.9134Y-4.7244
X8.0512Y-3.9173
X8.0709Y-3.3858
X8.0709Y-3.7008
X8.0709Y-4.8819
X8.3585Y-3.8169
X8.435Y-3.7874
X8.4764Y-4.7638
X8.498Y-3.5866
X8.498Y-3.7067
X8.5787Y-3.8189
X8.589Y-3.5843
X8.6339Y-3.9055
X8.6693Y-3.7874
X8.6732Y-4.0197
X8.7461Y-4.5984
X8.7795Y-3.6772
X8.7874Y-4.1083
X8.7953Y-3.8189
X8.8031Y-3.6772
X8.8425Y-4.5315
X8.85Y-4.66
X8.8661Y-4.0197
X8.878Y-3.7559
X8.878Y-3.8819
X8.9016Y-4.2598
X8.9016Y-4.3465
X8.903Y-4.315
X8.9134Y-3.6772
X8.9281Y-4.0138
X8.9291Y-4.3268
X8.9291Y-4.2638
X8.937Y-3.6772
X8.943Y-4.3459
X8.9488Y-4.1732
X8.9606Y-3.8189
X8.9792Y-4.3504
X8.9868Y-3.525
X9.0256Y-4.376
X9.0453Y-4.124
X9.0453Y-4.1437
X9.0551Y-4.0512
X9.0576Y-4.2403
X9.065Y-4.124
X9.065Y-4.1437
X9.0728Y-3.8583
X9.0748Y-3.9567
X9.0787Y-4.0276
X9.0827Y-4.2323
X9.0876Y-4.3039
X9.0984Y-3.4449
X9.111Y-4.3006
X9.1142Y-4.0512
X9.1309Y-4.3134
X9.1339Y-4.3583
X9.1394Y-4.1543
X9.1457Y-3.9567
X9.1563Y-4.3156
X9.1585Y-4.0305
X9.1598Y-4.1339
X9.1651Y-4.1905
X9.1774Y-4.3048
X9.1811Y-4.0079
X9.1831Y-4.2618
X9.1961Y-4.3366
X9.1961Y-4.2842
X9.2244Y-3.9823
X9.2425Y-4.4799
X9.2618Y-3.9429
X9.2728Y-4.2374
X9.2913Y-4.2608
X9.2913Y-4.3996
X9.3386Y-3.5531
X9.3406Y-4.2811
X9.3406Y-4.3996
X9.3512Y-4.1752
X9.3619Y-3.5292
X9.3895Y-4.2988
X9.3898Y-4.3996
X9.4409Y-4.1811
X9.4429Y-4.2717
X9.4508Y-3.9242
X9.4613Y-4.2886
X9.4646Y-3.9665
X9.4646Y-4.0256
X9.4646Y-4.0846
X9.4646Y-4.1437
X9.4803Y-4.6496
X9.5Y-4.5413
X9.5669Y-3.9665
X9.5669Y-4.0256
X9.5669Y-4.0846
X9.5669Y-4.1437
X9.5669Y-4.2618
X9.6457Y-3.3858
X9.6457Y-4.8819
X9.8031Y-3.5433
X9.8031Y-4.7244
T2
X7.9921Y-4.626
X8.0315Y-4.5866
X8.0945Y-4.1634
X8.0945Y-4.1969
X8.1673Y-4.1201
X8.248Y-4.0807
X8.248Y-4.189
X8.3681Y-4.1457
X8.4843Y-4.0787
X8.4843Y-4.189
X8.5354Y-4.1024
X8.5354Y-4.1614
X8.937Y-4.5906
X8.9685Y-4.6063
X8.99Y-4.71
T4
X8.0379Y-4.0354
X8.0379Y-4.2323
T5
X8.8553Y-4.7177
X8.8553Y-4.8177
X8.15Y-4.6
X8.15Y-4.7
X8.25Y-4.6
X8.25Y-4.7
X8.35Y-4.6
X8.35Y-4.7
X8.45Y-4.6
X8.45Y-4.7
X8.55Y-4.6
X8.55Y-4.7
X8.65Y-4.6
X8.65Y-4.7
X9.05Y-4.6
X9.05Y-4.7
X9.15Y-4.6
X9.15Y-4.7
X9.25Y-4.6
X9.25Y-4.7
X9.35Y-4.6
X9.35Y-4.7
X9.45Y-4.6
X9.45Y-4.7
X9.55Y-4.6
X9.55Y-4.7
X9.1669Y-3.4449
X9.2669Y-3.4449
X9.3669Y-3.4449
X9.4669Y-3.4449
X9.5669Y-3.4449
T6
X9.5472Y-3.8484
X9.5472Y-4.4193
X9.7402Y-3.8248
X9.7402Y-4.4429
T7
X7.9724Y-4.8228
X7.9724Y-3.4449
X9.7441Y-4.8228
X9.7441Y-3.4449
T3
X7.9178Y-3.9961G85X7.9454Y-3.9961
G05
X7.9454Y-4.2717G85X7.9178Y-4.2717
G05
T0
M30

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,448 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x05
#
DEF Connector_Generic_Conn_01x05 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x05" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -250 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x06_Odd_Even
#
DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -350 1 1 10 f
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 300 -300 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_HDMI_A
#
DEF Connector_HDMI_A J 0 20 Y Y 1 F N
F0 "J" -250 1050 50 H V C CNN
F1 "Connector_HDMI_A" 400 1050 50 H V C CNN
F2 "" 25 0 50 H I C CNN
F3 "" 25 0 50 H I C CNN
$FPLIST
HDMI*A*
$ENDFPLIST
DRAW
S -300 1000 400 -1000 0 1 10 f
P 2 0 0 25 320 650 320 710 N
P 6 0 0 25 0 650 0 710 0 680 75 680 75 710 75 650 N
P 7 0 0 25 105 710 170 710 180 700 180 660 170 650 105 650 105 680 N
P 7 0 1 0 100 350 150 350 200 250 200 -225 150 -325 100 -325 100 350 F
P 8 0 1 25 210 650 210 710 250 710 250 650 250 710 280 710 290 700 290 650 N
P 9 0 1 25 0 500 0 -500 150 -500 200 -400 300 -350 300 350 200 400 150 500 0 500 N
X D2+ 1 -400 800 100 R 50 50 1 1 P
X CK+ 10 -400 200 100 R 50 50 1 1 P
X CKS 11 100 -1100 100 U 50 50 1 1 W
X CK- 12 -400 100 100 R 50 50 1 1 P
X CEC 13 -400 -100 100 R 50 50 1 1 B
X UTILITY 14 -400 -600 100 R 50 50 1 1 P
X SCL 15 -400 -300 100 R 50 50 1 1 P
X SDA 16 -400 -400 100 R 50 50 1 1 B
X GND 17 200 -1100 100 U 50 50 1 1 W
X +5V 18 0 1100 100 D 50 50 1 1 W
X HPD 19 -400 -700 100 R 50 50 1 1 P
X D2S 2 -200 -1100 100 U 50 50 1 1 W
X D2- 3 -400 700 100 R 50 50 1 1 P
X D1+ 4 -400 600 100 R 50 50 1 1 P
X D1S 5 -100 -1100 100 U 50 50 1 1 W
X D1- 6 -400 500 100 R 50 50 1 1 P
X D0+ 7 -400 400 100 R 50 50 1 1 P
X D0S 8 0 -1100 100 U 50 50 1 1 W
X D0- 9 -400 300 100 R 50 50 1 1 P
X SH SH 300 -1100 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_USB_OTG
#
DEF Connector_USB_OTG J 0 40 Y Y 1 F N
F0 "J" -200 450 50 H V L CNN
F1 "Connector_USB_OTG" -200 350 50 H V L CNN
F2 "" 150 -50 50 H I C CNN
F3 "" 150 -50 50 H I C CNN
$FPLIST
USB*
$ENDFPLIST
DRAW
C -150 85 25 0 1 10 F
C -25 135 15 0 1 10 F
S -200 -300 200 300 0 1 10 f
S -5 -300 5 -270 0 1 0 N
S 10 50 -20 20 0 1 10 F
S 200 -205 170 -195 0 1 0 N
S 200 -105 170 -95 0 1 0 N
S 200 -5 170 5 0 1 0 N
S 200 195 170 205 0 1 0 N
P 2 0 1 10 -75 85 25 85 N
P 4 0 1 10 -125 85 -100 85 -50 135 -25 135 N
P 4 0 1 10 -100 85 -75 85 -50 35 0 35 N
P 4 0 1 10 25 110 25 60 75 85 25 110 F
P 5 0 1 0 -170 220 -70 220 -80 190 -160 190 -170 220 F
P 9 0 1 0 -185 230 -185 220 -175 190 -175 180 -65 180 -65 190 -55 220 -55 230 -185 230 N
X VBUS 1 300 200 100 L 50 50 1 1 W
X D- 2 300 -100 100 L 50 50 1 1 P
X D+ 3 300 0 100 L 50 50 1 1 P
X ID 4 300 -200 100 L 50 50 1 1 P
X GND 5 0 -400 100 U 50 50 1 1 W
X Shield 6 -100 -400 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Crystal_GND24
#
DEF Device_Crystal_GND24 Y 0 40 Y N 1 F N
F0 "Y" 125 200 50 H V L CNN
F1 "Device_Crystal_GND24" 125 125 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Crystal*
$ENDFPLIST
DRAW
S -45 100 45 -100 0 1 12 N
P 2 0 1 0 -100 0 -80 0 N
P 2 0 1 20 -80 -50 -80 50 N
P 2 0 1 0 0 -150 0 -140 N
P 2 0 1 0 0 140 0 150 N
P 2 0 1 20 80 -50 80 50 N
P 2 0 1 0 80 0 100 0 N
P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N
P 4 0 1 0 -100 90 -100 140 100 140 100 90 N
X 1 1 -150 0 50 R 50 50 1 1 P
X 2 2 0 200 50 D 50 50 1 1 P
X 3 3 150 0 50 L 50 50 1 1 P
X 4 4 0 -200 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_LED
#
DEF Device_LED D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Device_LED" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
LED*
LED_SMD:*
LED_THT:*
$ENDFPLIST
DRAW
P 2 0 1 8 -50 -50 -50 50 N
P 2 0 1 0 -50 0 50 0 N
P 4 0 1 8 50 -50 50 50 -50 0 50 -50 N
P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R
#
DEF Device_R R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "Device_R" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Memory_Flash_AT25SF081-XMHD-X
#
DEF Memory_Flash_AT25SF081-XMHD-X U 0 20 Y Y 1 F N
F0 "U" 100 500 50 H V C CNN
F1 "Memory_Flash_AT25SF081-XMHD-X" 400 400 50 H V C CNN
F2 "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" 0 -600 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS AT25SF081-XMHF-X
$FPLIST
TSSOP?8*4.4x3mm*P0.65mm*
$ENDFPLIST
DRAW
S -450 350 450 -350 1 1 10 f
X ~CS 1 -600 0 150 R 50 50 1 1 I
X SO/IO1 2 600 200 150 L 50 50 1 1 B
X ~WP~/IO2 3 -600 -100 150 R 50 50 1 1 B
X GND 4 0 -500 150 U 50 50 1 1 W
X SI/IO0 5 -600 200 150 R 50 50 1 1 B
X SCK 6 -600 100 150 R 50 50 1 1 I
X ~HOLD~/IO3 7 -600 -200 150 R 50 50 1 1 B
X VCC 8 0 500 150 D 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Switch_SW_Push
#
DEF Switch_SW_Push SW 0 40 N N 1 F N
F0 "SW" 50 100 50 H V L CNN
F1 "Switch_SW_Push" 0 -60 50 H V C CNN
F2 "" 0 200 50 H I C CNN
F3 "" 0 200 50 H I C CNN
DRAW
C -80 0 20 0 1 0 N
C 80 0 20 0 1 0 N
P 2 0 1 0 0 50 0 120 N
P 2 0 1 0 100 50 -100 50 N
X 1 1 -200 0 100 R 50 50 0 1 P
X 2 2 200 0 100 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# picodvi_NCP115ASN330
#
DEF picodvi_NCP115ASN330 U 0 40 Y Y 1 F N
F0 "U" 0 -400 50 H V C CNN
F1 "picodvi_NCP115ASN330" 0 300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -200 250 200 -350 0 1 0 f
X IN 1 -300 150 100 R 50 50 1 1 W
X GND 2 -300 -250 100 R 50 50 1 1 P
X EN 3 -300 50 100 R 50 50 1 1 I
X OUT 5 300 150 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# picodvi_Pico2040
#
DEF picodvi_Pico2040 U 0 40 Y Y 3 L N
F0 "U" 0 150 50 H V C CNN
F1 "picodvi_Pico2040" 0 50 50 H V C CNN
F2 "" 300 0 50 H I C CNN
F3 "" 300 0 50 H I C CNN
DRAW
S -300 0 300 -2400 1 1 0 f
S 300 0 -300 -3100 2 1 0 f
S 300 0 -300 -2100 3 1 0 f
X GND 0 400 -2300 100 L 50 50 1 1 P
X IOVDD 1 400 -800 100 L 50 50 1 1 W
X IOVDD 10 400 -900 100 L 50 50 1 1 W
X IOVDD 22 400 -1000 100 L 50 50 1 1 W
X DVDD 23 400 -1900 100 L 50 50 1 1 W
X IOVDD 33 400 -1100 100 L 50 50 1 1 W
X IOVDD 42 400 -1200 100 L 50 50 1 1 W
X ADC_IOVDD 43 400 -1600 100 L 50 50 1 1 W
X LDO_IOVDD 44 400 -100 100 L 50 50 1 1 W
X LDO_VOUT 45 400 -200 100 L 50 50 1 1 w
X USB_IOVDD 48 400 -500 100 L 50 50 1 1 W
X IOVDD 49 400 -1300 100 L 50 50 1 1 W
X DVDD 50 400 -2000 100 L 50 50 1 1 W
X GPIO8 11 400 -900 100 L 50 50 2 1 B
X GPIO9 12 400 -1000 100 L 50 50 2 1 B
X GPIO10 13 400 -1100 100 L 50 50 2 1 B
X GPIO11 14 400 -1200 100 L 50 50 2 1 B
X GPIO12 15 400 -1300 100 L 50 50 2 1 B
X GPIO13 16 400 -1400 100 L 50 50 2 1 B
X GPIO14 17 400 -1500 100 L 50 50 2 1 B
X GPIO15 18 400 -1600 100 L 50 50 2 1 B
X GPIO0 2 400 -100 100 L 50 50 2 1 B
X GPIO16 27 400 -1700 100 L 50 50 2 1 B
X GPIO17 28 400 -1800 100 L 50 50 2 1 B
X GPIO18 29 400 -1900 100 L 50 50 2 1 B
X GPIO1 3 400 -200 100 L 50 50 2 1 B
X GPIO19 30 400 -2000 100 L 50 50 2 1 B
X GPIO20 31 400 -2100 100 L 50 50 2 1 B
X GPIO21 32 400 -2200 100 L 50 50 2 1 B
X GPIO22 34 400 -2300 100 L 50 50 2 1 B
X GPIO23 35 400 -2400 100 L 50 50 2 1 B
X GPIO24 36 400 -2500 100 L 50 50 2 1 B
X GPIO25 37 400 -2600 100 L 50 50 2 1 B
X ADC0/GPIO26 38 400 -2700 100 L 50 50 2 1 B
X ADC1/GPIO27 39 400 -2800 100 L 50 50 2 1 B
X GPIO2 4 400 -300 100 L 50 50 2 1 B
X ADC2/GPIO28 40 400 -2900 100 L 50 50 2 1 B
X ADC3/GPIO29 41 400 -3000 100 L 50 50 2 1 B
X GPIO3 5 400 -400 100 L 50 50 2 1 B
X GPIO4 6 400 -500 100 L 50 50 2 1 B
X GPIO5 7 400 -600 100 L 50 50 2 1 B
X GPIO6 8 400 -700 100 L 50 50 2 1 B
X GPIO7 9 400 -800 100 L 50 50 2 1 B
X TESTEN 19 400 -800 100 L 50 50 3 1 I
X XIN 20 400 -1100 100 L 50 50 3 1 I
X XOUT 21 400 -1200 100 L 50 50 3 1 O
X SWCLK 24 400 -600 100 L 50 50 3 1 I
X SWDIO 25 400 -700 100 L 50 50 3 1 B
X RUN/~RST~ 26 400 -500 100 L 50 50 3 1 I
X USB_DM 46 400 -100 100 L 50 50 3 1 B
X USB_DP 47 400 -200 100 L 50 50 3 1 B
X QSPI_SD3 51 400 -2000 100 L 50 50 3 1 B
X QSPI_SCK 52 400 -1600 100 L 50 50 3 1 O
X QSPI_SD0 53 400 -1700 100 L 50 50 3 1 B
X QSPI_SD2 54 400 -1900 100 L 50 50 3 1 B
X QSPI_SD1 55 400 -1800 100 L 50 50 3 1 B
X ~QSPI_SS~ 56 400 -1500 100 L 50 50 3 1 B
ENDDRAW
ENDDEF
#
# power_+1V1
#
DEF power_+1V1 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+1V1" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +1V1 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_PWR_FLAG
#
DEF power_PWR_FLAG #FLG 0 0 N N 1 F P
F0 "#FLG" 0 75 50 H I C CNN
F1 "power_PWR_FLAG" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N
X pwr 1 0 0 0 U 50 50 0 0 w
ENDDRAW
ENDDEF
#
# power_VBUS
#
DEF power_VBUS #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_VBUS" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X VBUS 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Plik diff jest za duży Load Diff

Wyświetl plik

@ -0,0 +1,3 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

Wyświetl plik

@ -0,0 +1,3 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

Plik diff jest za duży Load Diff

Plik diff jest za duży Load Diff

Wyświetl plik

@ -0,0 +1,261 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# NCP115ASN330
#
DEF NCP115ASN330 U 0 40 Y Y 1 F N
F0 "U" 0 -400 50 H V C CNN
F1 "NCP115ASN330" 0 300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -200 250 200 -350 0 1 0 f
X IN 1 -300 150 100 R 50 50 1 1 W
X GND 2 -300 -250 100 R 50 50 1 1 P
X EN 3 -300 50 100 R 50 50 1 1 I
X OUT 5 300 150 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# Pico2040
#
DEF Pico2040 U 0 40 Y Y 3 L N
F0 "U" 0 150 50 H V C CNN
F1 "Pico2040" 0 50 50 H V C CNN
F2 "" 300 0 50 H I C CNN
F3 "" 300 0 50 H I C CNN
DRAW
S -300 0 300 -2400 1 1 0 f
S 300 0 -300 -3100 2 1 0 f
S 300 0 -300 -2100 3 1 0 f
X GND 0 400 -2300 100 L 50 50 1 1 P
X IOVDD 1 400 -800 100 L 50 50 1 1 W
X IOVDD 10 400 -900 100 L 50 50 1 1 W
X IOVDD 22 400 -1000 100 L 50 50 1 1 W
X DVDD 23 400 -1900 100 L 50 50 1 1 W
X IOVDD 33 400 -1100 100 L 50 50 1 1 W
X IOVDD 42 400 -1200 100 L 50 50 1 1 W
X ADC_IOVDD 43 400 -1600 100 L 50 50 1 1 W
X LDO_IOVDD 44 400 -100 100 L 50 50 1 1 W
X LDO_VOUT 45 400 -200 100 L 50 50 1 1 w
X USB_IOVDD 48 400 -500 100 L 50 50 1 1 W
X IOVDD 49 400 -1300 100 L 50 50 1 1 W
X DVDD 50 400 -2000 100 L 50 50 1 1 W
X GPIO8 11 400 -900 100 L 50 50 2 1 B
X GPIO9 12 400 -1000 100 L 50 50 2 1 B
X GPIO10 13 400 -1100 100 L 50 50 2 1 B
X GPIO11 14 400 -1200 100 L 50 50 2 1 B
X GPIO12 15 400 -1300 100 L 50 50 2 1 B
X GPIO13 16 400 -1400 100 L 50 50 2 1 B
X GPIO14 17 400 -1500 100 L 50 50 2 1 B
X GPIO15 18 400 -1600 100 L 50 50 2 1 B
X GPIO0 2 400 -100 100 L 50 50 2 1 B
X GPIO16 27 400 -1700 100 L 50 50 2 1 B
X GPIO17 28 400 -1800 100 L 50 50 2 1 B
X GPIO18 29 400 -1900 100 L 50 50 2 1 B
X GPIO1 3 400 -200 100 L 50 50 2 1 B
X GPIO19 30 400 -2000 100 L 50 50 2 1 B
X GPIO20 31 400 -2100 100 L 50 50 2 1 B
X GPIO21 32 400 -2200 100 L 50 50 2 1 B
X GPIO22 34 400 -2300 100 L 50 50 2 1 B
X GPIO23 35 400 -2400 100 L 50 50 2 1 B
X GPIO24 36 400 -2500 100 L 50 50 2 1 B
X GPIO25 37 400 -2600 100 L 50 50 2 1 B
X ADC0/GPIO26 38 400 -2700 100 L 50 50 2 1 B
X ADC1/GPIO27 39 400 -2800 100 L 50 50 2 1 B
X GPIO2 4 400 -300 100 L 50 50 2 1 B
X ADC2/GPIO28 40 400 -2900 100 L 50 50 2 1 B
X ADC3/GPIO29 41 400 -3000 100 L 50 50 2 1 B
X GPIO3 5 400 -400 100 L 50 50 2 1 B
X GPIO4 6 400 -500 100 L 50 50 2 1 B
X GPIO5 7 400 -600 100 L 50 50 2 1 B
X GPIO6 8 400 -700 100 L 50 50 2 1 B
X GPIO7 9 400 -800 100 L 50 50 2 1 B
X TESTEN 19 400 -800 100 L 50 50 3 1 I
X XIN 20 400 -1100 100 L 50 50 3 1 I
X XOUT 21 400 -1200 100 L 50 50 3 1 O
X SWCLK 24 400 -600 100 L 50 50 3 1 I
X SWDIO 25 400 -700 100 L 50 50 3 1 B
X RUN/~RST~ 26 400 -500 100 L 50 50 3 1 I
X USB_DM 46 400 -100 100 L 50 50 3 1 B
X USB_DP 47 400 -200 100 L 50 50 3 1 B
X QSPI_SD3 51 400 -2000 100 L 50 50 3 1 B
X QSPI_SCK 52 400 -1600 100 L 50 50 3 1 O
X QSPI_SD0 53 400 -1700 100 L 50 50 3 1 B
X QSPI_SD2 54 400 -1900 100 L 50 50 3 1 B
X QSPI_SD1 55 400 -1800 100 L 50 50 3 1 B
X ~QSPI_SS~ 56 400 -1500 100 L 50 50 3 1 B
ENDDRAW
ENDDEF
#
# RB1-125BAG1A
#
DEF RB1-125BAG1A J 0 40 Y Y 1 F N
F0 "J" 0 -950 50 H V C CNN
F1 "RB1-125BAG1A" 50 1200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
A -250 250 50 -899 899 1 1 0 N -250 200 -250 300
A -250 350 50 -899 899 1 1 0 N -250 300 -250 400
A -250 450 50 -899 899 1 1 0 N -250 400 -250 500
A -250 700 50 -899 899 1 1 0 N -250 650 -250 750
A -250 800 50 -899 899 1 1 0 N -250 750 -250 850
A -250 900 50 -899 899 1 1 0 N -250 850 -250 950
A -50 250 50 901 -901 1 1 0 N -50 300 -50 200
A -50 350 50 901 -901 1 1 0 N -50 400 -50 300
A -50 450 50 901 -901 1 1 0 N -50 500 -50 400
A -50 700 50 901 -901 1 1 0 N -50 750 -50 650
A -50 800 50 901 -901 1 1 0 N -50 850 -50 750
A -50 900 50 901 -901 1 1 0 N -50 950 -50 850
A 350 250 50 1 1799 1 1 0 N 400 250 300 250
A 350 450 50 -1799 -1 1 1 0 N 300 450 400 450
A 350 700 50 1 1799 1 1 0 N 400 700 300 700
A 350 900 50 -1799 -1 1 1 0 N 300 900 400 900
A 450 250 50 1 1799 1 1 0 N 500 250 400 250
A 450 450 50 -1799 -1 1 1 0 N 400 450 500 450
A 450 700 50 1 1799 1 1 0 N 500 700 400 700
A 450 900 50 -1799 -1 1 1 0 N 400 900 500 900
A 550 250 50 1 1799 1 1 0 N 600 250 500 250
A 550 450 50 -1799 -1 1 1 0 N 500 450 600 450
A 550 700 50 1 1799 1 1 0 N 600 700 500 700
A 550 900 50 -1799 -1 1 1 0 N 500 900 600 900
C 350 265 10 0 1 0 F
C 350 430 10 0 1 0 F
C 350 715 10 0 1 0 F
C 350 880 10 0 1 0 F
C -235 450 10 1 1 0 F
C -235 900 10 1 1 0 F
C -70 450 10 1 1 0 F
C -70 900 10 1 1 0 F
T 0 -150 550 50 0 0 0 1:1 Normal 0 C C
T 0 -150 1000 50 0 0 0 1:1 Normal 0 C C
T 0 1400 1050 50 0 0 0 C1 Normal 0 C C
T 0 1400 900 50 0 0 0 C2 Normal 0 C C
T 0 1400 750 50 0 0 0 C3 Normal 0 C C
T 0 1400 600 50 0 0 0 C4 Normal 0 C C
T 0 1400 450 50 0 0 0 C5 Normal 0 C C
T 0 1400 300 50 0 0 0 C6 Normal 0 C C
T 0 1400 150 50 0 0 0 C7 Normal 0 C C
T 0 1400 0 50 0 0 0 C8 Normal 0 C C
S 1000 1075 1250 1025 0 0 0 N
S 1000 25 1250 -25 1 0 0 N
S 1000 175 1250 125 1 0 0 N
S 1000 325 1250 275 1 0 0 N
S 1000 475 1250 425 1 0 0 N
S 1000 625 1250 575 1 0 0 N
S 1000 775 1250 725 1 0 0 N
S 1000 925 1250 875 1 0 0 N
P 3 0 0 0 -50 200 300 200 300 250 N
P 3 0 0 0 -50 500 300 500 300 450 N
P 3 0 0 0 -50 650 300 650 300 700 N
P 3 0 0 0 300 900 300 950 -50 950 N
P 3 0 0 0 600 900 600 1050 1000 1050 N
P 5 0 0 0 600 700 600 650 700 650 700 900 1000 900 N
P 2 0 1 0 300 335 600 335 N
P 2 0 1 0 300 785 600 785 N
P 2 0 1 0 600 365 300 365 N
P 2 0 1 0 600 815 300 815 N
P 2 1 1 0 -165 500 -165 200 N
P 2 1 1 0 -165 950 -165 650 N
P 2 1 1 0 -135 200 -135 500 N
P 2 1 1 0 -135 650 -135 950 N
X foobar ~ -850 400 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# S70KS128
#
DEF S70KS128 U 0 40 Y Y 1 F N
F0 "U" 0 850 50 H V C CNN
F1 "S70KS128" 0 -850 50 H V C CNN
F2 "" -50 1350 50 H I C CNN
F3 "" -50 1350 50 H I C CNN
DRAW
S -250 800 250 -800 0 1 0 f
X ~CS~ A3 350 -400 100 L 50 50 1 1 I
X ~RST~ A4 350 -700 100 L 50 50 1 1 I
X ~CK~ B1 350 -200 100 L 50 50 1 1 I
X CK B2 350 -300 100 L 50 50 1 1 I
X VSS B3 -350 100 100 R 50 50 1 1 P
X VCC B4 -350 200 100 R 50 50 1 1 W
X VSSQ C1 -350 500 100 R 50 50 1 1 P
X RWDS C3 350 -500 100 L 50 50 1 1 B
X DQ2 C4 350 500 100 L 50 50 1 1 B
X VCCQ D1 -350 700 100 R 50 50 1 1 W
X DQ1 D2 350 600 100 L 50 50 1 1 B
X DQ0 D3 350 700 100 L 50 50 1 1 B
X DQ3 D4 350 400 100 L 50 50 1 1 B
X DQ4 D5 350 300 100 L 50 50 1 1 B
X DQ7 E1 350 0 100 L 50 50 1 1 B
X DQ6 E2 350 100 100 L 50 50 1 1 B
X DQ5 E3 350 200 100 L 50 50 1 1 B
X VCCQ E4 -350 600 100 R 50 50 1 1 W
X VSSQ E5 -350 400 100 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# iCE40UP5k-QFN48
#
DEF iCE40UP5k-QFN48 U 0 40 Y Y 3 L N
F0 "U" 0 150 50 H V C CNN
F1 "iCE40UP5k-QFN48" 0 50 50 H V C CNN
F2 "" 150 0 50 H I C CNN
F3 "" 150 0 50 H I C CNN
DRAW
S -350 0 350 -1900 1 1 0 f
S -350 0 350 -3300 2 1 0 f
S -350 0 350 -1400 3 1 0 f
X GND 0 450 -1800 100 L 50 50 1 1 P
X VCCIO_2 1 450 -900 100 L 50 50 1 1 W
X SPI_VCCIO1 22 450 -1200 100 L 50 50 1 1 W
X VPP_2V5 24 450 -1500 100 L 50 50 1 1 W
X VCCPLL 29 450 -500 100 L 50 50 1 1 W
X VCC 30 450 -200 100 L 50 50 1 1 W
X VCCIO_0 33 450 -800 100 L 50 50 1 1 W
X VCC 5 450 -100 100 L 50 50 1 1 W
X IOB_18A 10 450 -1100 100 L 50 50 2 1 B
X IOB_20A 11 450 -1200 100 L 50 50 2 1 B
X IOB_22A 12 450 -1300 100 L 50 50 2 1 B
X IOB_24A 13 450 -1500 100 L 50 50 2 1 B
X IOB_31B 18 450 -1800 100 L 50 50 2 1 B
X IOB_29B 19 450 -1700 100 L 50 50 2 1 B
X IOB_6A 2 450 -600 100 L 50 50 2 1 B
X GBIN3/IOB_25B 20 450 -1600 100 L 50 50 2 1 B
X IOB_23B 21 450 -1400 100 L 50 50 2 1 B
X IOT_37A 23 450 -2000 100 L 50 50 2 1 B
X IOT_36B 25 450 -1900 100 L 50 50 2 1 B
X IOT_39A 26 450 -2200 100 L 50 50 2 1 B
X IOT_38B 27 450 -2100 100 L 50 50 2 1 B
X IOT_41A 28 450 -2300 100 L 50 50 2 1 B
X IOB_9B 3 450 -800 100 L 50 50 2 1 B
X IOT_42B 31 450 -2400 100 L 50 50 2 1 B
X IOT_43A 32 450 -2500 100 L 50 50 2 1 B
X IOT_44B 34 450 -2600 100 L 50 50 2 1 B
X GBIN0/IOT_46B 35 450 -2800 100 L 50 50 2 1 B
X IOT_48B 36 450 -2900 100 L 50 50 2 1 B
X GBIN1/IOT_45A 37 450 -2700 100 L 50 50 2 1 B
X IOT_50B 38 450 -3100 100 L 50 50 2 1 B
X IOB_8A 4 450 -700 100 L 50 50 2 1 B
X IOT_51A 42 450 -3200 100 L 50 50 2 1 B
X IOT_49A 43 450 -3000 100 L 50 50 2 1 B
X GBIN6/IOB_3B 44 450 -300 100 L 50 50 2 1 B
X IOB_5B 45 450 -500 100 L 50 50 2 1 B
X IOB_0A 46 450 -100 100 L 50 50 2 1 B
X IOB_2A 47 450 -200 100 L 50 50 2 1 B
X IOB_4A 48 450 -400 100 L 50 50 2 1 B
X IOB_13B 6 450 -900 100 L 50 50 2 1 B
X IOB_16A 9 450 -1000 100 L 50 50 2 1 B
X SPI_SO/IOB_32A 14 450 -100 100 L 50 50 3 1 B
X SPI_SCK/IOB_34A 15 450 -300 100 L 50 50 3 1 B
X ~SPI_SS~/IOB_35B 16 450 -400 100 L 50 50 3 1 B
X SPI_SI/IOB_33B 17 450 -200 100 L 50 50 3 1 B
X RGB0 39 450 -1100 100 L 50 50 3 1 C
X RGB1 40 450 -1200 100 L 50 50 3 1 C
X RGB2 41 450 -1300 100 L 50 50 3 1 C
X CDONE 7 450 -800 100 L 50 50 3 1 B
X ~CRESET~ 8 450 -700 100 L 50 50 3 1 I
ENDDRAW
ENDDEF
#
#End Library

Wyświetl plik

@ -0,0 +1,898 @@
(export (version D)
(design
(source /home/luke/proj/picodvi/hardware/board/picodvi.sch)
(date "Mon 26 Oct 2020 10:07:44 GMT")
(tool "Eeschema 5.0.2-bee76a0~70~ubuntu18.04.1")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title PicoDVI)
(company "Luke Wren")
(rev C)
(date 2020-06-23)
(source picodvi.sch)
(comment (number 1) (value "but it's worth a try"))
(comment (number 2) (value "This is utterly cursed and probably won't ever work"))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref U1)
(value RP2040)
(footprint picodvi:QFN-56_EP_7x7_Pitch0.4mm)
(libsource (lib picodvi) (part Pico2040) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 5EF23DC4))
(comp (ref J5)
(value NOT_HDMI_A)
(footprint picodvi:HDMI-SS-53000)
(datasheet https://en.wikipedia.org/wiki/HDMI)
(libsource (lib Connector) (part HDMI_A) (description "HDMI type A connector"))
(sheetpath (names /) (tstamps /))
(tstamp 5EF2410D))
(comp (ref R10)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5EF2BAA5))
(comp (ref R9)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5EF2F0F1))
(comp (ref R8)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5EF2F17A))
(comp (ref R7)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5EF2F1B2))
(comp (ref C1)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EF85EA7))
(comp (ref C3)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EF8F783))
(comp (ref C5)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EF9936C))
(comp (ref C8)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EF9E20D))
(comp (ref C2)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EFB2270))
(comp (ref C4)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EFB75A2))
(comp (ref C6)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EFBC8B4))
(comp (ref C9)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5EFC1D89))
(comp (ref J3)
(value 02x06)
(footprint picodvi:PinHeader_2x06_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_02x06_Odd_Even) (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5EFDD539))
(comp (ref J4)
(value 02x06)
(footprint picodvi:PinHeader_2x06_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_02x06_Odd_Even) (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F01769D))
(comp (ref D1)
(value LED)
(footprint LED_SMD:LED_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part LED) (description "Light emitting diode"))
(sheetpath (names /) (tstamps /))
(tstamp 5F036FA1))
(comp (ref R6)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F03718A))
(comp (ref U3)
(value W25Q16JV)
(footprint Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm)
(datasheet https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf)
(libsource (lib Memory_Flash) (part AT25SF081-XMHD-X) (description "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, TSSOP-8"))
(sheetpath (names /) (tstamps /))
(tstamp 5F05DB86))
(comp (ref C23)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F07D783))
(comp (ref C22)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F085797))
(comp (ref R2)
(value DNF)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F0B8FA6))
(comp (ref R3)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F0C205A))
(comp (ref SW2)
(value SW_Push)
(footprint picodvi:SW_SPST_PTS815)
(libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
(sheetpath (names /) (tstamps /))
(tstamp 5F0DD816))
(comp (ref SW1)
(value SW_Push)
(footprint picodvi:SW_SPST_PTS815)
(libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
(sheetpath (names /) (tstamps /))
(tstamp 5F11DFDA))
(comp (ref R1)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F12763B))
(comp (ref J1)
(value USB_OTG)
(footprint Connector_USB:USB_Micro-B_Molex-105017-0001)
(datasheet " ~")
(libsource (lib Connector) (part USB_OTG) (description "USB mini/micro connector"))
(sheetpath (names /) (tstamps /))
(tstamp 5F160F7D))
(comp (ref U2)
(value NCP115ASN330)
(footprint Package_TO_SOT_SMD:SOT-23-5_HandSoldering)
(libsource (lib picodvi) (part NCP115ASN330) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 5F1F64C4))
(comp (ref C7)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F21A4DD))
(comp (ref C11)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F24BA17))
(comp (ref R4)
(value 27R)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F27F8D3))
(comp (ref R5)
(value 27R)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F27FA34))
(comp (ref C10)
(value 10p)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F2EB612))
(comp (ref C12)
(value 10p)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F2EB690))
(comp (ref C13)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F3CBFD7))
(comp (ref Y1)
(value "12 M")
(footprint Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm)
(datasheet ~)
(libsource (lib Device) (part Crystal_GND24) (description "Four pin crystal, GND on pins 2 and 4"))
(sheetpath (names /) (tstamps /))
(tstamp 5F45A2E8))
(comp (ref D2)
(value LED)
(footprint LED_SMD:LED_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part LED) (description "Light emitting diode"))
(sheetpath (names /) (tstamps /))
(tstamp 5F5E7A29))
(comp (ref R11)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F5E7A30))
(comp (ref J6)
(value Conn_01x02)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F64B0C8))
(comp (ref C24)
(value 1u)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F69E395))
(comp (ref R12)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1310B8))
(comp (ref R16)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1313D8))
(comp (ref R13)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1983ED))
(comp (ref R17)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1983F4))
(comp (ref R14)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1A9577))
(comp (ref R18)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1A957E))
(comp (ref R15)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1BA711))
(comp (ref R19)
(value 270)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F1BA718))
(comp (ref J2)
(value Conn_01x05)
(footprint picodvi:PinHeader_1x05_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x05) (description "Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F1796A1))
(comp (ref SW3)
(value SW_Push)
(footprint picodvi:SW_SPST_PTS815)
(libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
(sheetpath (names /) (tstamps /))
(tstamp 5F2CC5B5))
(comp (ref R20)
(value 2k2)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F2DE7E9)))
(libparts
(libpart (lib Connector) (part HDMI_A)
(description "HDMI type A connector")
(docs https://en.wikipedia.org/wiki/HDMI)
(footprints
(fp HDMI*A*))
(fields
(field (name Reference) J)
(field (name Value) HDMI_A))
(pins
(pin (num 1) (name D2+) (type passive))
(pin (num 2) (name D2S) (type power_in))
(pin (num 3) (name D2-) (type passive))
(pin (num 4) (name D1+) (type passive))
(pin (num 5) (name D1S) (type power_in))
(pin (num 6) (name D1-) (type passive))
(pin (num 7) (name D0+) (type passive))
(pin (num 8) (name D0S) (type power_in))
(pin (num 9) (name D0-) (type passive))
(pin (num 10) (name CK+) (type passive))
(pin (num 11) (name CKS) (type power_in))
(pin (num 12) (name CK-) (type passive))
(pin (num 13) (name CEC) (type BiDi))
(pin (num 14) (name UTILITY) (type passive))
(pin (num 15) (name SCL) (type passive))
(pin (num 16) (name SDA) (type BiDi))
(pin (num 17) (name GND) (type power_in))
(pin (num 18) (name +5V) (type power_in))
(pin (num 19) (name HPD) (type passive))
(pin (num SH) (name SH) (type passive))))
(libpart (lib Connector) (part USB_OTG)
(description "USB mini/micro connector")
(docs " ~")
(footprints
(fp USB*))
(fields
(field (name Reference) J)
(field (name Value) USB_OTG))
(pins
(pin (num 1) (name VBUS) (type power_in))
(pin (num 2) (name D-) (type passive))
(pin (num 3) (name D+) (type passive))
(pin (num 4) (name ID) (type passive))
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name Shield) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x02)
(description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_1x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_01x02))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x05)
(description "Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_1x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_01x05))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))
(pin (num 5) (name Pin_5) (type passive))))
(libpart (lib Connector_Generic) (part Conn_02x06_Odd_Even)
(description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_2x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_02x06_Odd_Even))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))
(pin (num 5) (name Pin_5) (type passive))
(pin (num 6) (name Pin_6) (type passive))
(pin (num 7) (name Pin_7) (type passive))
(pin (num 8) (name Pin_8) (type passive))
(pin (num 9) (name Pin_9) (type passive))
(pin (num 10) (name Pin_10) (type passive))
(pin (num 11) (name Pin_11) (type passive))
(pin (num 12) (name Pin_12) (type passive))))
(libpart (lib Device) (part C)
(description "Unpolarized capacitor")
(docs ~)
(footprints
(fp C_*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part Crystal_GND24)
(description "Four pin crystal, GND on pins 2 and 4")
(docs ~)
(footprints
(fp Crystal*))
(fields
(field (name Reference) Y)
(field (name Value) Crystal_GND24))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))
(pin (num 3) (name 3) (type passive))
(pin (num 4) (name 4) (type passive))))
(libpart (lib Device) (part LED)
(description "Light emitting diode")
(docs ~)
(footprints
(fp LED*)
(fp LED_SMD:*)
(fp LED_THT:*))
(fields
(field (name Reference) D)
(field (name Value) LED))
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive))))
(libpart (lib Device) (part R)
(description Resistor)
(docs ~)
(footprints
(fp R_*))
(fields
(field (name Reference) R)
(field (name Value) R))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Memory_Flash) (part AT25SF081-XMHD-X)
(aliases
(alias AT25SF081-XMHF-X))
(description "8-Mbit, 2.5V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support, TSSOP-8")
(docs https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf)
(footprints
(fp TSSOP?8*4.4x3mm*P0.65mm*))
(fields
(field (name Reference) U)
(field (name Value) AT25SF081-XMHD-X)
(field (name Footprint) Package_SO:TSSOP-8_4.4x3mm_P0.65mm))
(pins
(pin (num 1) (name ~CS) (type input))
(pin (num 2) (name SO/IO1) (type BiDi))
(pin (num 3) (name ~WP~/IO2) (type BiDi))
(pin (num 4) (name GND) (type power_in))
(pin (num 5) (name SI/IO0) (type BiDi))
(pin (num 6) (name SCK) (type input))
(pin (num 7) (name ~HOLD~/IO3) (type BiDi))
(pin (num 8) (name VCC) (type power_in))))
(libpart (lib Switch) (part SW_Push)
(description "Push button switch, generic, two pins")
(fields
(field (name Reference) SW)
(field (name Value) SW_Push))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib picodvi) (part NCP115ASN330)
(fields
(field (name Reference) U)
(field (name Value) NCP115ASN330))
(pins
(pin (num 1) (name IN) (type power_in))
(pin (num 2) (name GND) (type passive))
(pin (num 3) (name EN) (type input))
(pin (num 5) (name OUT) (type power_out))))
(libpart (lib picodvi) (part Pico2040)
(fields
(field (name Reference) U)
(field (name Value) Pico2040))
(pins
(pin (num 0) (name GND) (type passive))
(pin (num 1) (name IOVDD) (type power_in))
(pin (num 2) (name GPIO0) (type BiDi))
(pin (num 3) (name GPIO1) (type BiDi))
(pin (num 4) (name GPIO2) (type BiDi))
(pin (num 5) (name GPIO3) (type BiDi))
(pin (num 6) (name GPIO4) (type BiDi))
(pin (num 7) (name GPIO5) (type BiDi))
(pin (num 8) (name GPIO6) (type BiDi))
(pin (num 9) (name GPIO7) (type BiDi))
(pin (num 10) (name IOVDD) (type power_in))
(pin (num 11) (name GPIO8) (type BiDi))
(pin (num 12) (name GPIO9) (type BiDi))
(pin (num 13) (name GPIO10) (type BiDi))
(pin (num 14) (name GPIO11) (type BiDi))
(pin (num 15) (name GPIO12) (type BiDi))
(pin (num 16) (name GPIO13) (type BiDi))
(pin (num 17) (name GPIO14) (type BiDi))
(pin (num 18) (name GPIO15) (type BiDi))
(pin (num 19) (name TESTEN) (type input))
(pin (num 20) (name XIN) (type input))
(pin (num 21) (name XOUT) (type output))
(pin (num 22) (name IOVDD) (type power_in))
(pin (num 23) (name DVDD) (type power_in))
(pin (num 24) (name SWCLK) (type input))
(pin (num 25) (name SWDIO) (type BiDi))
(pin (num 26) (name RUN/~RST~) (type input))
(pin (num 27) (name GPIO16) (type BiDi))
(pin (num 28) (name GPIO17) (type BiDi))
(pin (num 29) (name GPIO18) (type BiDi))
(pin (num 30) (name GPIO19) (type BiDi))
(pin (num 31) (name GPIO20) (type BiDi))
(pin (num 32) (name GPIO21) (type BiDi))
(pin (num 33) (name IOVDD) (type power_in))
(pin (num 34) (name GPIO22) (type BiDi))
(pin (num 35) (name GPIO23) (type BiDi))
(pin (num 36) (name GPIO24) (type BiDi))
(pin (num 37) (name GPIO25) (type BiDi))
(pin (num 38) (name ADC0/GPIO26) (type BiDi))
(pin (num 39) (name ADC1/GPIO27) (type BiDi))
(pin (num 40) (name ADC2/GPIO28) (type BiDi))
(pin (num 41) (name ADC3/GPIO29) (type BiDi))
(pin (num 42) (name IOVDD) (type power_in))
(pin (num 43) (name ADC_IOVDD) (type power_in))
(pin (num 44) (name LDO_IOVDD) (type power_in))
(pin (num 45) (name LDO_VOUT) (type power_out))
(pin (num 46) (name USB_DM) (type BiDi))
(pin (num 47) (name USB_DP) (type BiDi))
(pin (num 48) (name USB_IOVDD) (type power_in))
(pin (num 49) (name IOVDD) (type power_in))
(pin (num 50) (name DVDD) (type power_in))
(pin (num 51) (name QSPI_SD3) (type BiDi))
(pin (num 52) (name QSPI_SCK) (type output))
(pin (num 53) (name QSPI_SD0) (type BiDi))
(pin (num 54) (name QSPI_SD2) (type BiDi))
(pin (num 55) (name QSPI_SD1) (type BiDi))
(pin (num 56) (name ~QSPI_SS~) (type BiDi)))))
(libraries
(library (logical Connector)
(uri /usr/share/kicad/library/Connector.lib))
(library (logical Connector_Generic)
(uri /usr/share/kicad/library/Connector_Generic.lib))
(library (logical Device)
(uri /usr/share/kicad/library/Device.lib))
(library (logical Memory_Flash)
(uri /usr/share/kicad/library/Memory_Flash.lib))
(library (logical Switch)
(uri /usr/share/kicad/library/Switch.lib))
(library (logical picodvi)
(uri /home/luke/proj/picodvi/hardware/board/picodvi.lib)))
(nets
(net (code 1) (name /USB_D-)
(node (ref R4) (pin 1))
(node (ref J1) (pin 2)))
(net (code 2) (name /USB_D+)
(node (ref J1) (pin 3))
(node (ref R5) (pin 1)))
(net (code 3) (name "Net-(J1-Pad4)")
(node (ref J1) (pin 4)))
(net (code 4) (name VBUS)
(node (ref U2) (pin 1))
(node (ref R8) (pin 1))
(node (ref C7) (pin 1))
(node (ref J5) (pin 18))
(node (ref J6) (pin 1))
(node (ref R9) (pin 1))
(node (ref U2) (pin 3))
(node (ref C24) (pin 1))
(node (ref J1) (pin 1)))
(net (code 5) (name +3V3)
(node (ref C13) (pin 1))
(node (ref R2) (pin 1))
(node (ref U3) (pin 8))
(node (ref C23) (pin 1))
(node (ref C22) (pin 1))
(node (ref R11) (pin 1))
(node (ref J4) (pin 11))
(node (ref J3) (pin 11))
(node (ref J3) (pin 12))
(node (ref C2) (pin 1))
(node (ref C4) (pin 1))
(node (ref C6) (pin 1))
(node (ref J4) (pin 12))
(node (ref C1) (pin 1))
(node (ref R7) (pin 1))
(node (ref U1) (pin 49))
(node (ref U1) (pin 1))
(node (ref U1) (pin 10))
(node (ref U1) (pin 22))
(node (ref U1) (pin 33))
(node (ref U1) (pin 42))
(node (ref U1) (pin 43))
(node (ref U1) (pin 44))
(node (ref U1) (pin 48))
(node (ref C9) (pin 1))
(node (ref C11) (pin 1))
(node (ref U2) (pin 5))
(node (ref R1) (pin 1)))
(net (code 6) (name "Net-(R3-Pad2)")
(node (ref R3) (pin 2))
(node (ref SW2) (pin 2)))
(net (code 7) (name /RUN_~RST~)
(node (ref U1) (pin 26))
(node (ref SW1) (pin 2))
(node (ref R1) (pin 2)))
(net (code 8) (name /SWDIO)
(node (ref J2) (pin 3))
(node (ref U1) (pin 25)))
(net (code 9) (name /SWCLK)
(node (ref J2) (pin 4))
(node (ref U1) (pin 24)))
(net (code 10) (name /PMOD1_6)
(node (ref U1) (pin 40))
(node (ref J3) (pin 6)))
(net (code 11) (name /PMOD1_5)
(node (ref J3) (pin 4))
(node (ref U1) (pin 39)))
(net (code 12) (name /PMOD1_4)
(node (ref U1) (pin 38))
(node (ref J3) (pin 2)))
(net (code 13) (name /PMOD1_0)
(node (ref U1) (pin 34))
(node (ref J3) (pin 1)))
(net (code 14) (name /PMOD1_1)
(node (ref J3) (pin 3))
(node (ref U1) (pin 35)))
(net (code 15) (name /PMOD1_2)
(node (ref J3) (pin 5))
(node (ref U1) (pin 36)))
(net (code 16) (name /PMOD1_3)
(node (ref U1) (pin 37))
(node (ref J3) (pin 7)))
(net (code 17) (name /PMOD1_7)
(node (ref J3) (pin 8))
(node (ref U1) (pin 41)))
(net (code 18) (name "Net-(D1-Pad2)")
(node (ref R6) (pin 2))
(node (ref D1) (pin 2)))
(net (code 19) (name /USER_LED_BUTTON)
(node (ref R20) (pin 1))
(node (ref R6) (pin 1))
(node (ref U1) (pin 32)))
(net (code 20) (name /PMOD0_7)
(node (ref J4) (pin 8))
(node (ref U1) (pin 9)))
(net (code 21) (name /FLASH_SD1)
(node (ref U3) (pin 2))
(node (ref U1) (pin 55)))
(net (code 22) (name /FLASH_SCK)
(node (ref U3) (pin 6))
(node (ref U1) (pin 52)))
(net (code 23) (name /FLASH_SD0)
(node (ref U1) (pin 53))
(node (ref U3) (pin 5)))
(net (code 24) (name /FLASH_SD2)
(node (ref U1) (pin 54))
(node (ref U3) (pin 3)))
(net (code 25) (name /FLASH_SD3)
(node (ref U1) (pin 51))
(node (ref U3) (pin 7)))
(net (code 26) (name /FLASH_~CS~)
(node (ref U3) (pin 1))
(node (ref R3) (pin 1))
(node (ref U1) (pin 56))
(node (ref R2) (pin 2)))
(net (code 27) (name /UART_RX)
(node (ref U1) (pin 28))
(node (ref J2) (pin 1)))
(net (code 28) (name /uC_DVI_CEC)
(node (ref R10) (pin 2))
(node (ref R7) (pin 2))
(node (ref U1) (pin 31)))
(net (code 29) (name /uC_DVI_CK-)
(node (ref R19) (pin 2))
(node (ref U1) (pin 11)))
(net (code 30) (name "Net-(R20-Pad2)")
(node (ref SW3) (pin 2))
(node (ref R20) (pin 2)))
(net (code 31) (name /uC_DVI_CK+)
(node (ref U1) (pin 12))
(node (ref R15) (pin 2)))
(net (code 32) (name /uC_DVI_D0-)
(node (ref U1) (pin 13))
(node (ref R18) (pin 2)))
(net (code 33) (name /uC_DVI_D0+)
(node (ref R14) (pin 2))
(node (ref U1) (pin 14)))
(net (code 34) (name /uC_DVI_D1-)
(node (ref R17) (pin 2))
(node (ref U1) (pin 15)))
(net (code 35) (name /uC_DVI_D1+)
(node (ref R13) (pin 2))
(node (ref U1) (pin 16)))
(net (code 36) (name /uC_DVI_D2-)
(node (ref U1) (pin 17))
(node (ref R16) (pin 2)))
(net (code 37) (name /uC_DVI_D2+)
(node (ref U1) (pin 18))
(node (ref R12) (pin 2)))
(net (code 38) (name /XOUT)
(node (ref Y1) (pin 1))
(node (ref C10) (pin 1))
(node (ref U1) (pin 21)))
(net (code 39) (name /XIN)
(node (ref U1) (pin 20))
(node (ref C12) (pin 1))
(node (ref Y1) (pin 3)))
(net (code 40) (name /USB_DX-)
(node (ref U1) (pin 46))
(node (ref R4) (pin 2)))
(net (code 41) (name /USB_DX+)
(node (ref U1) (pin 47))
(node (ref R5) (pin 2)))
(net (code 42) (name +1V1)
(node (ref C5) (pin 1))
(node (ref C8) (pin 1))
(node (ref U1) (pin 23))
(node (ref U1) (pin 45))
(node (ref U1) (pin 50))
(node (ref C3) (pin 1)))
(net (code 43) (name "Net-(D2-Pad2)")
(node (ref R11) (pin 2))
(node (ref D2) (pin 2)))
(net (code 44) (name GND)
(node (ref C6) (pin 2))
(node (ref J2) (pin 5))
(node (ref J3) (pin 10))
(node (ref SW1) (pin 1))
(node (ref C2) (pin 2))
(node (ref SW3) (pin 1))
(node (ref J6) (pin 2))
(node (ref C24) (pin 2))
(node (ref C9) (pin 2))
(node (ref J4) (pin 10))
(node (ref C12) (pin 2))
(node (ref C23) (pin 2))
(node (ref C1) (pin 2))
(node (ref J3) (pin 9))
(node (ref C22) (pin 2))
(node (ref C13) (pin 2))
(node (ref C4) (pin 2))
(node (ref SW2) (pin 1))
(node (ref U3) (pin 4))
(node (ref D2) (pin 1))
(node (ref J4) (pin 9))
(node (ref J1) (pin 6))
(node (ref C8) (pin 2))
(node (ref D1) (pin 1))
(node (ref C5) (pin 2))
(node (ref U1) (pin 19))
(node (ref U2) (pin 2))
(node (ref J5) (pin 17))
(node (ref C7) (pin 2))
(node (ref J5) (pin 2))
(node (ref J1) (pin 5))
(node (ref C10) (pin 2))
(node (ref J5) (pin 5))
(node (ref J5) (pin 8))
(node (ref J5) (pin SH))
(node (ref C3) (pin 2))
(node (ref U1) (pin 0))
(node (ref Y1) (pin 4))
(node (ref Y1) (pin 2))
(node (ref J5) (pin 11))
(node (ref C11) (pin 2)))
(net (code 45) (name "Net-(J5-Pad14)")
(node (ref J5) (pin 14)))
(net (code 46) (name "Net-(J5-Pad19)")
(node (ref J5) (pin 19)))
(net (code 47) (name "Net-(J5-Pad13)")
(node (ref R10) (pin 1))
(node (ref J5) (pin 13)))
(net (code 48) (name /DVI_CK-)
(node (ref R19) (pin 1))
(node (ref J5) (pin 12)))
(net (code 49) (name /DVI_D1+)
(node (ref R13) (pin 1))
(node (ref J5) (pin 4)))
(net (code 50) (name /DVI_D1-)
(node (ref J5) (pin 6))
(node (ref R17) (pin 1)))
(net (code 51) (name /DVI_D0+)
(node (ref J5) (pin 7))
(node (ref R14) (pin 1)))
(net (code 52) (name /DVI_D0-)
(node (ref J5) (pin 9))
(node (ref R18) (pin 1)))
(net (code 53) (name /DVI_CK+)
(node (ref J5) (pin 10))
(node (ref R15) (pin 1)))
(net (code 54) (name /uC_DVI_SDA)
(node (ref U1) (pin 29))
(node (ref J5) (pin 16))
(node (ref R9) (pin 2)))
(net (code 55) (name /uC_DVI_SCL)
(node (ref J5) (pin 15))
(node (ref U1) (pin 30))
(node (ref R8) (pin 2)))
(net (code 56) (name /DVI_D2-)
(node (ref J5) (pin 3))
(node (ref R16) (pin 1)))
(net (code 57) (name /UART_TX)
(node (ref J2) (pin 2))
(node (ref U1) (pin 27)))
(net (code 58) (name /DVI_D2+)
(node (ref R12) (pin 1))
(node (ref J5) (pin 1)))
(net (code 59) (name /PMOD0_1)
(node (ref U1) (pin 3))
(node (ref J4) (pin 3)))
(net (code 60) (name /PMOD0_0)
(node (ref J4) (pin 1))
(node (ref U1) (pin 2)))
(net (code 61) (name /PMOD0_6)
(node (ref U1) (pin 8))
(node (ref J4) (pin 6)))
(net (code 62) (name /PMOD0_5)
(node (ref U1) (pin 7))
(node (ref J4) (pin 4)))
(net (code 63) (name /PMOD0_4)
(node (ref U1) (pin 6))
(node (ref J4) (pin 2)))
(net (code 64) (name /PMOD0_3)
(node (ref J4) (pin 7))
(node (ref U1) (pin 5)))
(net (code 65) (name /PMOD0_2)
(node (ref J4) (pin 5))
(node (ref U1) (pin 4)))))

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,39 @@
(module HDMI-SS-53000 (layer F.Cu) (tedit 5F2B3614)
(attr smd)
(fp_text reference J5 (at -9.75 -2.5 -270) (layer F.SilkS)
(effects (font (size 0.7 0.7) (thickness 0.1)))
)
(fp_text value NOT_HDMI_A (at 0 -2.45) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -7.9 -7.4) (end -7.9 -6.2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.9 -7.4) (end 7.9 -6.2) (layer F.SilkS) (width 0.15))
(fp_line (start -7.9 -7.4) (end 7.9 -7.4) (layer F.SilkS) (width 0.15))
(fp_line (start -7.9 -7.4) (end 7.9 -7.4) (layer F.CrtYd) (width 0.15))
(fp_line (start 7.9 -7.4) (end 7.9 1) (layer F.CrtYd) (width 0.15))
(fp_line (start 7.9 1) (end -7.9 1) (layer F.CrtYd) (width 0.15))
(fp_line (start -7.9 1) (end -7.9 -7.4) (layer F.CrtYd) (width 0.15))
(pad SH thru_hole circle (at -7.85 -4.9) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask))
(pad SH thru_hole circle (at 7.85 -4.9) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask))
(pad SH thru_hole circle (at -7.25 0) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask))
(pad SH thru_hole circle (at 7.25 0) (size 1.9 1.9) (drill 1.3) (layers *.Cu *.Mask))
(pad 19 smd rect (at 4.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 18 smd rect (at 3.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 17 smd rect (at 3.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 16 smd rect (at 2.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 15 smd rect (at 2.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 14 smd rect (at 1.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 13 smd rect (at 1.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 12 smd rect (at 0.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 11 smd rect (at 0.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at -0.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 9 smd rect (at -0.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at -1.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -1.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at -2.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at -2.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -3.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -3.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -4.25 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -4.75 0.9) (size 0.3 1.9) (layers F.Cu F.Paste F.Mask))
)

Wyświetl plik

@ -0,0 +1,11 @@
(module MH_M2 (layer F.Cu) (tedit 5F12D2E7)
(fp_text reference REF** (at 0 0) (layer F.SilkS) hide
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
(fp_text value MH_M2 (at 0 0) (layer F.Fab) hide
(effects (font (size 0.0254 0.0254) (thickness 0.00635)))
)
(fp_circle (center 0 0) (end 2 0) (layer F.CrtYd) (width 0.15))
(pad "" np_thru_hole circle (at 0 0) (size 2.4 2.4) (drill 2.4) (layers *.Cu *.Mask)
(solder_mask_margin 0.75))
)

Wyświetl plik

@ -0,0 +1,38 @@
(module PinHeader_1x05_P2.54mm_Vertical (layer F.Cu) (tedit 5F95547A)
(descr "Through hole straight pin header, 1x05, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x05 2.54mm single row")
(fp_text reference J2 (at 0 -2.33) (layer F.SilkS)
(effects (font (size 0.7 0.7) (thickness 0.1)))
)
(fp_text value Conn_01x05 (at 0 12.49) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 11.43) (end -1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 11.43) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 11.49) (end 1.33 11.49) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 11.49) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 11.49) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.5 -1.5) (end -1.5 11.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.5 11.75) (end 1.5 11.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.5 11.75) (end 1.5 -1.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.5 -1.5) (end -1.5 -1.5) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 5.08 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 5 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x05_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

Wyświetl plik

@ -0,0 +1,134 @@
(module PinHeader_2x06_P2.54mm_Horizontal (layer F.Cu) (tedit 5F95B57E)
(descr "Through hole angled pin header, 2x06, 2.54mm pitch, 6mm pin length, double rows")
(tags "Through hole angled pin header THT 2x06 2.54mm double row")
(fp_text reference J4 (at 4.66 -2.18 -270) (layer F.SilkS)
(effects (font (size 0.7 0.7) (thickness 0.1)))
)
(fp_text value 02x06 (at 5.655 14.97) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 5.31 6.35 -270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 13.1 -1.8) (end -1.25 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 13.1 14.5) (end 13.1 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.25 14.5) (end 13.1 14.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.25 -1.8) (end -1.25 14.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 13.08) (end 1.497071 13.08) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 12.32) (end 1.497071 12.32) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 13.08) (end 3.98 13.08) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 12.32) (end 3.98 12.32) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 13.08) (end 6.64 13.08) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 12.32) (end 12.64 13.08) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 12.32) (end 12.64 12.32) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 11.43) (end 6.64 11.43) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 10.54) (end 1.497071 10.54) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 9.78) (end 1.497071 9.78) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 10.54) (end 3.98 10.54) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 9.78) (end 3.98 9.78) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 10.54) (end 6.64 10.54) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 9.78) (end 12.64 10.54) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 9.78) (end 12.64 9.78) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 8.89) (end 6.64 8.89) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 8) (end 1.497071 8) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 7.24) (end 1.497071 7.24) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 8) (end 3.98 8) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 7.24) (end 3.98 7.24) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 8) (end 6.64 8) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 7.24) (end 12.64 8) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 7.24) (end 12.64 7.24) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 6.35) (end 6.64 6.35) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 5.46) (end 1.497071 5.46) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 4.7) (end 1.497071 4.7) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 5.46) (end 3.98 5.46) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 4.7) (end 3.98 4.7) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 5.46) (end 6.64 5.46) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 4.7) (end 12.64 5.46) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 4.7) (end 12.64 4.7) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 3.81) (end 6.64 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 2.92) (end 1.497071 2.92) (layer F.SilkS) (width 0.12))
(fp_line (start 1.042929 2.16) (end 1.497071 2.16) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 2.92) (end 3.98 2.92) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 2.16) (end 3.98 2.16) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 2.92) (end 6.64 2.92) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 2.16) (end 12.64 2.92) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 2.16) (end 12.64 2.16) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 1.27) (end 6.64 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start 1.11 0.38) (end 1.497071 0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 1.11 -0.38) (end 1.497071 -0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 0.38) (end 3.98 0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 3.582929 -0.38) (end 3.98 -0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 0.28) (end 12.64 0.28) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 0.16) (end 12.64 0.16) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 0.04) (end 12.64 0.04) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 -0.08) (end 12.64 -0.08) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 -0.2) (end 12.64 -0.2) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 -0.32) (end 12.64 -0.32) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 0.38) (end 6.64 0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 12.64 -0.38) (end 12.64 0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 -0.38) (end 12.64 -0.38) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 -1.33) (end 3.98 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 6.64 14.03) (end 6.64 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 14.03) (end 6.64 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 3.98 -1.33) (end 3.98 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 6.58 13.02) (end 12.58 13.02) (layer F.Fab) (width 0.1))
(fp_line (start 12.58 12.38) (end 12.58 13.02) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 12.38) (end 12.58 12.38) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 13.02) (end 4.04 13.02) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 12.38) (end -0.32 13.02) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 12.38) (end 4.04 12.38) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 10.48) (end 12.58 10.48) (layer F.Fab) (width 0.1))
(fp_line (start 12.58 9.84) (end 12.58 10.48) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 9.84) (end 12.58 9.84) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 10.48) (end 4.04 10.48) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 9.84) (end -0.32 10.48) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 9.84) (end 4.04 9.84) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 7.94) (end 12.58 7.94) (layer F.Fab) (width 0.1))
(fp_line (start 12.58 7.3) (end 12.58 7.94) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 7.3) (end 12.58 7.3) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 7.94) (end 4.04 7.94) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 7.3) (end 4.04 7.3) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 5.4) (end 12.58 5.4) (layer F.Fab) (width 0.1))
(fp_line (start 12.58 4.76) (end 12.58 5.4) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 4.76) (end 12.58 4.76) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 5.4) (end 4.04 5.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 4.76) (end 4.04 4.76) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 2.86) (end 12.58 2.86) (layer F.Fab) (width 0.1))
(fp_line (start 12.58 2.22) (end 12.58 2.86) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 2.22) (end 12.58 2.22) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 2.86) (end 4.04 2.86) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 2.22) (end 4.04 2.22) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 0.32) (end 12.58 0.32) (layer F.Fab) (width 0.1))
(fp_line (start 12.58 -0.32) (end 12.58 0.32) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 -0.32) (end 12.58 -0.32) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 0.32) (end 4.04 0.32) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1))
(fp_line (start -0.32 -0.32) (end 4.04 -0.32) (layer F.Fab) (width 0.1))
(fp_line (start 4.04 -0.635) (end 4.675 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 4.04 13.97) (end 4.04 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 13.97) (end 4.04 13.97) (layer F.Fab) (width 0.1))
(fp_line (start 6.58 -1.27) (end 6.58 13.97) (layer F.Fab) (width 0.1))
(fp_line (start 4.675 -1.27) (end 6.58 -1.27) (layer F.Fab) (width 0.1))
(pad 12 thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 10 thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 8 thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 4 thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x06_P2.54mm_Horizontal.wrl
(offset (xyz 0 -12.7 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)

Wyświetl plik

@ -0,0 +1,89 @@
(module QFN-56_EP_7x7_Pitch0.4mm (layer F.Cu) (tedit 5EB44E02)
(attr smd)
(fp_text reference REF** (at 0 -5) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value QFN-56_EP_7x7_Pitch0.4mm (at 0 5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.5 -3.5) (end -3.5 -2.5) (layer F.Fab) (width 0.15))
(fp_line (start -3.5 -2.5) (end -3.5 3.5) (layer F.Fab) (width 0.15))
(fp_line (start -3.5 3.5) (end 3.5 3.5) (layer F.Fab) (width 0.15))
(fp_line (start 3.5 3.5) (end 3.5 -3.5) (layer F.Fab) (width 0.15))
(fp_line (start 3.5 -3.5) (end -2.5 -3.5) (layer F.Fab) (width 0.15))
(fp_line (start 3 -3.65) (end 3.65 -3.65) (layer F.SilkS) (width 0.15))
(fp_line (start 3.65 -3.65) (end 3.65 -3) (layer F.SilkS) (width 0.15))
(fp_line (start 3 3.65) (end 3.65 3.65) (layer F.SilkS) (width 0.15))
(fp_line (start 3.65 3.65) (end 3.65 3) (layer F.SilkS) (width 0.15))
(fp_line (start -3 3.65) (end -3.65 3.65) (layer F.SilkS) (width 0.15))
(fp_line (start -3.65 3.65) (end -3.65 3) (layer F.SilkS) (width 0.15))
(fp_line (start -3 -3.65) (end -4 -3.65) (layer F.SilkS) (width 0.15))
(fp_line (start -4.25 -4.25) (end 4.25 -4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.25 -4.25) (end 4.25 4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.25 4.25) (end -4.25 4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.25 4.25) (end -4.25 -4.25) (layer F.CrtYd) (width 0.05))
(pad 1 smd oval (at -3.5 -2.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 2 smd oval (at -3.5 -2.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 3 smd oval (at -3.5 -1.8 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 4 smd oval (at -3.5 -1.4 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 5 smd oval (at -3.5 -1 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 6 smd oval (at -3.5 -0.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 7 smd oval (at -3.5 -0.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 8 smd oval (at -3.5 0.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 9 smd oval (at -3.5 0.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 10 smd oval (at -3.5 1 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 11 smd oval (at -3.5 1.4 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 12 smd oval (at -3.5 1.8 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 13 smd oval (at -3.5 2.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 14 smd oval (at -3.5 2.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 15 smd oval (at -2.6 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 16 smd oval (at -2.2 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 17 smd oval (at -1.8 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 18 smd oval (at -1.4 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 19 smd oval (at -1 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 20 smd oval (at -0.6 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 21 smd oval (at -0.2 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 22 smd oval (at 0.2 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 23 smd oval (at 0.6 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 24 smd oval (at 1 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 25 smd oval (at 1.4 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 26 smd oval (at 1.8 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 27 smd oval (at 2.2 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 28 smd oval (at 2.6 3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 29 smd oval (at 3.5 2.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 30 smd oval (at 3.5 2.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 31 smd oval (at 3.5 1.8 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 32 smd oval (at 3.5 1.4 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 33 smd oval (at 3.5 1 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 34 smd oval (at 3.5 0.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 35 smd oval (at 3.5 0.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 36 smd oval (at 3.5 -0.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 37 smd oval (at 3.5 -0.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 38 smd oval (at 3.5 -1 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 39 smd oval (at 3.5 -1.4 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 40 smd oval (at 3.5 -1.8 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 41 smd oval (at 3.5 -2.2 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 42 smd oval (at 3.5 -2.6 90) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 43 smd oval (at 2.6 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 44 smd oval (at 2.2 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 45 smd oval (at 1.8 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 46 smd oval (at 1.4 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 47 smd oval (at 1 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 48 smd oval (at 0.6 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 49 smd oval (at 0.2 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 50 smd oval (at -0.2 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 51 smd oval (at -0.6 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 52 smd oval (at -1 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 53 smd oval (at -1.4 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 54 smd oval (at -1.8 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 55 smd oval (at -2.2 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 56 smd oval (at -2.6 -3.5) (size 0.2 1) (layers F.Cu F.Paste F.Mask))
(pad 0 smd rect (at -0.8 -0.8) (size 1.6 1.6) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin -0.1))
(pad 0 smd rect (at -0.8 0.8) (size 1.6 1.6) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin -0.1))
(pad 0 smd rect (at 0.8 -0.8) (size 1.6 1.6) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin -0.1))
(pad 0 smd rect (at 0.8 0.8) (size 1.6 1.6) (layers F.Cu F.Paste F.Mask)
(solder_paste_margin -0.1))
)

Wyświetl plik

@ -0,0 +1,53 @@
(module S70KS128 (layer F.Cu) (tedit 5F3D532D)
(attr smd)
(fp_text reference REF** (at 0 -5) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value S70KS128 (at 0 5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2 -4) (end -3 -3) (layer F.Fab) (width 0.15))
(fp_line (start -3 -3) (end -3 4) (layer F.Fab) (width 0.15))
(fp_line (start -3 4) (end 3 4) (layer F.Fab) (width 0.15))
(fp_line (start 3 4) (end 3 -4) (layer F.Fab) (width 0.15))
(fp_line (start 3 -4) (end -2 -4) (layer F.Fab) (width 0.15))
(fp_line (start 1.65 -4.15) (end 3.15 -4.15) (layer F.SilkS) (width 0.15))
(fp_line (start 3.15 -4.15) (end 3.15 -2.15) (layer F.SilkS) (width 0.15))
(fp_line (start 1.65 -4.15) (end 3.15 -4.15) (layer F.SilkS) (width 0.15))
(fp_line (start 3.15 -4.15) (end 3.15 -2.15) (layer F.SilkS) (width 0.15))
(fp_line (start 1.65 4.15) (end 3.15 4.15) (layer F.SilkS) (width 0.15))
(fp_line (start 3.15 4.15) (end 3.15 2.15) (layer F.SilkS) (width 0.15))
(fp_line (start 1.65 -4.15) (end 3.15 -4.15) (layer F.SilkS) (width 0.15))
(fp_line (start 3.15 -4.15) (end 3.15 -2.15) (layer F.SilkS) (width 0.15))
(fp_line (start -1.65 4.15) (end -3.15 4.15) (layer F.SilkS) (width 0.15))
(fp_line (start -3.15 4.15) (end -3.15 2.15) (layer F.SilkS) (width 0.15))
(fp_line (start -1.65 -4.15) (end -2 -4.15) (layer F.SilkS) (width 0.15))
(fp_line (start -2 -4.15) (end -3.15 -3) (layer F.SilkS) (width 0.15))
(fp_line (start -3.15 -3) (end -3.15 -2.15) (layer F.SilkS) (width 0.15))
(fp_circle (center -3 -4) (end -3 -3.9) (layer F.SilkS) (width 0.2))
(fp_line (start -3.25 -4.25) (end 3.25 -4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.25 -4.25) (end 3.25 4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.25 4.25) (end -3.25 4.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.25 4.25) (end -3.25 -4.25) (layer F.CrtYd) (width 0.05))
(pad B1 smd circle (at -2 -1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad C1 smd circle (at -2 0) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad D1 smd circle (at -2 1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad E1 smd circle (at -2 2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad A2 smd circle (at -1 -2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad B2 smd circle (at -1 -1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad D2 smd circle (at -1 1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad E2 smd circle (at -1 2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad A3 smd circle (at 0 -2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad B3 smd circle (at 0 -1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad C3 smd circle (at 0 0) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad D3 smd circle (at 0 1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad E3 smd circle (at 0 2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad A4 smd circle (at 1 -2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad B4 smd circle (at 1 -1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad C4 smd circle (at 1 0) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad D4 smd circle (at 1 1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad E4 smd circle (at 1 2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad A5 smd circle (at 2 -2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad D5 smd circle (at 2 1) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
(pad E5 smd circle (at 2 2) (size 0.5 0.5) (layers F.Cu F.Paste F.Mask))
)

Wyświetl plik

@ -0,0 +1,26 @@
(module SOIC8-150-208 (layer F.Cu) (tedit 0)
(attr smd)
(fp_text reference REF** (at -3.705 0 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SOIC8-150-208 (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.905 1.75) (end -2.705 0.95) (layer F.SilkS) (width 0.15))
(fp_line (start -2.705 0.95) (end -2.705 -1.75) (layer F.SilkS) (width 0.15))
(fp_line (start -2.705 -1.75) (end 2.705 -1.75) (layer F.SilkS) (width 0.15))
(fp_line (start 2.705 -1.75) (end 2.705 1.75) (layer F.SilkS) (width 0.15))
(fp_line (start 2.705 1.75) (end -1.905 1.75) (layer F.SilkS) (width 0.15))
(fp_line (start -2.85 -1.9) (end 2.85 -1.9) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 -1.9) (end 2.85 1.9) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 1.9) (end -2.85 1.9) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.85 1.9) (end -2.85 -1.9) (layer F.CrtYd) (width 0.05))
(pad 8 smd rect (at -1.905 -3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -1.905 3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -0.635 -3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -0.635 3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at 0.635 -3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0.635 3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.905 -3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 1.905 3.2) (size 0.6 2.3) (layers F.Cu F.Paste F.Mask))
)

Wyświetl plik

@ -0,0 +1,74 @@
(module SW_SPST_PTS256 (layer F.Cu) (tedit 5EF3B25D)
(descr "ALPS 5.2mm Square Low-profile Type (Surface Mount) SKQG Series, Without stem, http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKQG/SKQGAEE010.html")
(tags "SPST Button Switch")
(attr smd)
(fp_text reference SW2 (at 0 -3.6) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SW_Push (at 0 3.6) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.4 -2.6) (end 2.6 -1.4) (layer F.Fab) (width 0.1))
(fp_line (start 2.6 -1.4) (end 2.6 1.4) (layer F.Fab) (width 0.1))
(fp_line (start 2.6 1.4) (end 1.4 2.6) (layer F.Fab) (width 0.1))
(fp_line (start 1.4 2.6) (end -1.4 2.6) (layer F.Fab) (width 0.1))
(fp_line (start -1.4 2.6) (end -2.6 1.4) (layer F.Fab) (width 0.1))
(fp_line (start -2.6 1.4) (end -2.6 -1.4) (layer F.Fab) (width 0.1))
(fp_line (start -2.6 -1.4) (end -1.4 -2.6) (layer F.Fab) (width 0.1))
(fp_line (start -1.4 -2.6) (end 1.4 -2.6) (layer F.Fab) (width 0.1))
(fp_line (start -4.25 -2.85) (end -4.25 2.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.25 -2.85) (end -4.25 -2.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.25 2.85) (end 4.25 -2.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.25 2.85) (end 4.25 2.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.72 1.04) (end -2.72 -1.04) (layer F.SilkS) (width 0.12))
(fp_line (start 1.45 -2.72) (end 1.94 -2.23) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1))
(fp_line (start 2.72 1.04) (end 2.72 -1.04) (layer F.SilkS) (width 0.12))
(fp_line (start -1.45 -2.72) (end -1.94 -2.23) (layer F.SilkS) (width 0.12))
(fp_line (start -1.45 -2.72) (end 1.45 -2.72) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.6 0.6) (thickness 0.09)))
)
(fp_line (start -1.45 2.72) (end -1.94 2.23) (layer F.SilkS) (width 0.12))
(fp_line (start -1.45 2.72) (end 1.45 2.72) (layer F.SilkS) (width 0.12))
(fp_line (start 1.45 2.72) (end 1.94 2.23) (layer F.SilkS) (width 0.12))
(fp_line (start 4 -1.3) (end 4 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 4 1.3) (end 1 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 1 1.3) (end 1 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 1 -1.3) (end 4 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 1 -0.3) (end 2 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 1 0.7) (end 3 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 4 -1.3) (end 1.4 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 2.4 1.3) (end 4 -0.3) (layer Dwgs.User) (width 0.05))
(fp_line (start 4 0.7) (end 3.4 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -1 0.7) (end -1.6 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -4 1.3) (end -4 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -4 0.7) (end -2 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -1 1.3) (end -4 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -4 -1.3) (end -1 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -1 -1.3) (end -3.6 1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -2.6 1.3) (end -1 -0.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -4 -0.3) (end -3 -1.3) (layer Dwgs.User) (width 0.05))
(fp_line (start -1 -1.3) (end -1 1.3) (layer Dwgs.User) (width 0.05))
(fp_text user "No F.Cu tracks" (at 2.5 0.2) (layer Cmts.User)
(effects (font (size 0.2 0.2) (thickness 0.03)))
)
(fp_text user "KEEP-OUT ZONE" (at 2.5 -0.2) (layer Cmts.User)
(effects (font (size 0.2 0.2) (thickness 0.03)))
)
(fp_text user "KEEP-OUT ZONE" (at -2.5 -0.2) (layer Cmts.User)
(effects (font (size 0.2 0.2) (thickness 0.03)))
)
(fp_text user "No F.Cu tracks" (at -2.5 0.2) (layer Cmts.User)
(effects (font (size 0.2 0.2) (thickness 0.03)))
)
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask))
(pad ~ smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask))
(model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPST_SKQG_WithoutStem.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

Wyświetl plik

@ -0,0 +1,43 @@
(module SW_SPST_PTS815 (layer F.Cu) (tedit 5F9580B9)
(descr "C&K Components, PTS 810 Series, Microminiature SMT Top Actuated, http://www.ckswitches.com/media/1476/pts810.pdf")
(tags "SPST Button Switch")
(attr smd)
(fp_text reference SW3 (at 0 -2.6) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SW_Push (at 0 2.6) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.85 -1.85) (end 2.85 -1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.85 1.85) (end -2.85 -1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 1.85) (end -2.85 1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 -1.85) (end 2.85 1.85) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.6 0.6) (thickness 0.09)))
)
(fp_line (start 2.2 -1.58) (end 2.2 -1.7) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 0.57) (end 2.2 -0.57) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 1.7) (end 2.2 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 1.7) (end 2.2 1.7) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 1.58) (end -2.2 1.7) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 -0.57) (end -2.2 0.57) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 -1.7) (end -2.2 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 -1.7) (end -2.2 -1.7) (layer F.SilkS) (width 0.12))
(fp_line (start 0.4 1.1) (end -0.4 1.1) (layer F.Fab) (width 0.1))
(fp_line (start -0.4 -1.1) (end 0.4 -1.1) (layer F.Fab) (width 0.1))
(fp_arc (start -0.4 0) (end -0.4 1.1) (angle 180) (layer F.Fab) (width 0.1))
(fp_line (start -2.1 1.6) (end 2.1 1.6) (layer F.Fab) (width 0.1))
(fp_line (start -2.1 -1.6) (end -2.1 1.6) (layer F.Fab) (width 0.1))
(fp_line (start 2.1 -1.6) (end -2.1 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 2.1 1.6) (end 2.1 -1.6) (layer F.Fab) (width 0.1))
(fp_arc (start 0.4 0) (end 0.4 -1.1) (angle 180) (layer F.Fab) (width 0.1))
(pad "" smd rect (at -2.075 -1.075) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at 2.075 -1.075) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask))
(pad ~ smd rect (at -2.075 1.075) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 2.075 1.075) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask))
(model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPST_PTS810.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

Wyświetl plik

@ -0,0 +1,43 @@
update=Tue 23 Jun 2020 19:47:04 BST
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1

Plik diff jest za duży Load Diff

Plik diff jest za duży Load Diff

Wyświetl plik

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name picodvi)(type Legacy)(uri ${KIPRJMOD}/picodvi.lib)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name picodvi)(type KiCad)(uri ${KIPRJMOD}/../board/picodvi.pretty)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,969 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-06-27T14:22:49+01:00*
G04 #@! TF.ProjectId,picodvi_pmod,7069636f-6476-4695-9f70-6d6f642e6b69,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Sat 27 Jun 2020 14:22:49 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
G04 APERTURE END LIST*
D10*
G36*
X149691981Y-83637468D02*
X149874150Y-83712925D01*
X150038103Y-83822475D01*
X150177525Y-83961897D01*
X150287075Y-84125850D01*
X150362532Y-84308019D01*
X150401000Y-84501410D01*
X150401000Y-84698590D01*
X150362532Y-84891981D01*
X150287075Y-85074150D01*
X150177525Y-85238103D01*
X150038103Y-85377525D01*
X149874150Y-85487075D01*
X149691981Y-85562532D01*
X149498590Y-85601000D01*
X149301410Y-85601000D01*
X149108019Y-85562532D01*
X148925850Y-85487075D01*
X148761897Y-85377525D01*
X148622475Y-85238103D01*
X148512925Y-85074150D01*
X148437468Y-84891981D01*
X148399000Y-84698590D01*
X148399000Y-84501410D01*
X148437468Y-84308019D01*
X148512925Y-84125850D01*
X148622475Y-83961897D01*
X148761897Y-83822475D01*
X148925850Y-83712925D01*
X149108019Y-83637468D01*
X149301410Y-83599000D01*
X149498590Y-83599000D01*
X149691981Y-83637468D01*
X149691981Y-83637468D01*
G37*
G36*
X144791981Y-83037468D02*
X144974150Y-83112925D01*
X145138103Y-83222475D01*
X145277525Y-83361897D01*
X145387075Y-83525850D01*
X145462532Y-83708019D01*
X145501000Y-83901410D01*
X145501000Y-84098590D01*
X145462532Y-84291981D01*
X145387075Y-84474150D01*
X145277525Y-84638103D01*
X145138103Y-84777525D01*
X144974150Y-84887075D01*
X144791981Y-84962532D01*
X144598590Y-85001000D01*
X144401410Y-85001000D01*
X144208019Y-84962532D01*
X144025850Y-84887075D01*
X143861897Y-84777525D01*
X143722475Y-84638103D01*
X143612925Y-84474150D01*
X143537468Y-84291981D01*
X143499000Y-84098590D01*
X143499000Y-83901410D01*
X143537468Y-83708019D01*
X143612925Y-83525850D01*
X143722475Y-83361897D01*
X143861897Y-83222475D01*
X144025850Y-83112925D01*
X144208019Y-83037468D01*
X144401410Y-82999000D01*
X144598590Y-82999000D01*
X144791981Y-83037468D01*
X144791981Y-83037468D01*
G37*
G36*
X139901000Y-83901000D02*
X138099000Y-83901000D01*
X138099000Y-82099000D01*
X139901000Y-82099000D01*
X139901000Y-83901000D01*
X139901000Y-83901000D01*
G37*
G36*
X136570442Y-82105518D02*
X136636627Y-82112037D01*
X136749853Y-82146384D01*
X136806467Y-82163557D01*
X136869050Y-82197009D01*
X136962991Y-82247222D01*
X136998729Y-82276552D01*
X137100186Y-82359814D01*
X137183448Y-82461271D01*
X137212778Y-82497009D01*
X137212779Y-82497011D01*
X137296443Y-82653533D01*
X137296443Y-82653534D01*
X137347963Y-82823373D01*
X137365359Y-83000000D01*
X137347963Y-83176627D01*
X137334055Y-83222475D01*
X137296443Y-83346467D01*
X137239618Y-83452778D01*
X137212778Y-83502991D01*
X137194019Y-83525849D01*
X137100186Y-83640186D01*
X136998729Y-83723448D01*
X136962991Y-83752778D01*
X136962989Y-83752779D01*
X136806467Y-83836443D01*
X136749853Y-83853616D01*
X136636627Y-83887963D01*
X136570442Y-83894482D01*
X136504260Y-83901000D01*
X136415740Y-83901000D01*
X136349558Y-83894482D01*
X136283373Y-83887963D01*
X136170147Y-83853616D01*
X136113533Y-83836443D01*
X135957011Y-83752779D01*
X135957009Y-83752778D01*
X135921271Y-83723448D01*
X135819814Y-83640186D01*
X135725981Y-83525849D01*
X135707222Y-83502991D01*
X135680382Y-83452778D01*
X135623557Y-83346467D01*
X135585945Y-83222475D01*
X135572037Y-83176627D01*
X135554641Y-83000000D01*
X135572037Y-82823373D01*
X135623557Y-82653534D01*
X135623557Y-82653533D01*
X135707221Y-82497011D01*
X135707222Y-82497009D01*
X135736552Y-82461271D01*
X135819814Y-82359814D01*
X135921271Y-82276552D01*
X135957009Y-82247222D01*
X136050950Y-82197009D01*
X136113533Y-82163557D01*
X136170147Y-82146384D01*
X136283373Y-82112037D01*
X136349558Y-82105518D01*
X136415740Y-82099000D01*
X136504260Y-82099000D01*
X136570442Y-82105518D01*
X136570442Y-82105518D01*
G37*
G36*
X131555442Y-81805518D02*
X131621627Y-81812037D01*
X131734853Y-81846384D01*
X131791467Y-81863557D01*
X131930087Y-81937652D01*
X131947991Y-81947222D01*
X131983729Y-81976552D01*
X132085186Y-82059814D01*
X132168448Y-82161271D01*
X132197778Y-82197009D01*
X132197779Y-82197011D01*
X132281443Y-82353533D01*
X132298616Y-82410147D01*
X132332963Y-82523373D01*
X132350359Y-82700000D01*
X132332963Y-82876627D01*
X132298616Y-82989853D01*
X132281443Y-83046467D01*
X132245920Y-83112925D01*
X132197778Y-83202991D01*
X132168448Y-83238729D01*
X132085186Y-83340186D01*
X131983729Y-83423448D01*
X131947991Y-83452778D01*
X131947989Y-83452779D01*
X131791467Y-83536443D01*
X131734853Y-83553616D01*
X131621627Y-83587963D01*
X131555443Y-83594481D01*
X131489260Y-83601000D01*
X131400740Y-83601000D01*
X131334557Y-83594481D01*
X131268373Y-83587963D01*
X131155147Y-83553616D01*
X131098533Y-83536443D01*
X130942011Y-83452779D01*
X130942009Y-83452778D01*
X130906271Y-83423448D01*
X130804814Y-83340186D01*
X130721552Y-83238729D01*
X130692222Y-83202991D01*
X130644080Y-83112925D01*
X130608557Y-83046467D01*
X130591384Y-82989853D01*
X130557037Y-82876627D01*
X130539641Y-82700000D01*
X130557037Y-82523373D01*
X130591384Y-82410147D01*
X130608557Y-82353533D01*
X130692221Y-82197011D01*
X130692222Y-82197009D01*
X130721552Y-82161271D01*
X130804814Y-82059814D01*
X130906271Y-81976552D01*
X130942009Y-81947222D01*
X130959913Y-81937652D01*
X131098533Y-81863557D01*
X131155147Y-81846384D01*
X131268373Y-81812037D01*
X131334558Y-81805518D01*
X131400740Y-81799000D01*
X131489260Y-81799000D01*
X131555442Y-81805518D01*
X131555442Y-81805518D01*
G37*
G36*
X129015442Y-81805518D02*
X129081627Y-81812037D01*
X129194853Y-81846384D01*
X129251467Y-81863557D01*
X129390087Y-81937652D01*
X129407991Y-81947222D01*
X129443729Y-81976552D01*
X129545186Y-82059814D01*
X129628448Y-82161271D01*
X129657778Y-82197009D01*
X129657779Y-82197011D01*
X129741443Y-82353533D01*
X129758616Y-82410147D01*
X129792963Y-82523373D01*
X129810359Y-82700000D01*
X129792963Y-82876627D01*
X129758616Y-82989853D01*
X129741443Y-83046467D01*
X129705920Y-83112925D01*
X129657778Y-83202991D01*
X129628448Y-83238729D01*
X129545186Y-83340186D01*
X129443729Y-83423448D01*
X129407991Y-83452778D01*
X129407989Y-83452779D01*
X129251467Y-83536443D01*
X129194853Y-83553616D01*
X129081627Y-83587963D01*
X129015443Y-83594481D01*
X128949260Y-83601000D01*
X128860740Y-83601000D01*
X128794557Y-83594481D01*
X128728373Y-83587963D01*
X128615147Y-83553616D01*
X128558533Y-83536443D01*
X128402011Y-83452779D01*
X128402009Y-83452778D01*
X128366271Y-83423448D01*
X128264814Y-83340186D01*
X128181552Y-83238729D01*
X128152222Y-83202991D01*
X128104080Y-83112925D01*
X128068557Y-83046467D01*
X128051384Y-82989853D01*
X128017037Y-82876627D01*
X127999641Y-82700000D01*
X128017037Y-82523373D01*
X128051384Y-82410147D01*
X128068557Y-82353533D01*
X128152221Y-82197011D01*
X128152222Y-82197009D01*
X128181552Y-82161271D01*
X128264814Y-82059814D01*
X128366271Y-81976552D01*
X128402009Y-81947222D01*
X128419913Y-81937652D01*
X128558533Y-81863557D01*
X128615147Y-81846384D01*
X128728373Y-81812037D01*
X128794558Y-81805518D01*
X128860740Y-81799000D01*
X128949260Y-81799000D01*
X129015442Y-81805518D01*
X129015442Y-81805518D01*
G37*
G36*
X129015443Y-79265519D02*
X129081627Y-79272037D01*
X129194853Y-79306384D01*
X129251467Y-79323557D01*
X129390087Y-79397652D01*
X129407991Y-79407222D01*
X129443729Y-79436552D01*
X129545186Y-79519814D01*
X129628448Y-79621271D01*
X129657778Y-79657009D01*
X129657779Y-79657011D01*
X129741443Y-79813533D01*
X129741443Y-79813534D01*
X129792963Y-79983373D01*
X129810359Y-80160000D01*
X129792963Y-80336627D01*
X129758616Y-80449853D01*
X129741443Y-80506467D01*
X129667348Y-80645087D01*
X129657778Y-80662991D01*
X129628448Y-80698729D01*
X129545186Y-80800186D01*
X129443729Y-80883448D01*
X129407991Y-80912778D01*
X129407989Y-80912779D01*
X129251467Y-80996443D01*
X129194853Y-81013616D01*
X129081627Y-81047963D01*
X129015442Y-81054482D01*
X128949260Y-81061000D01*
X128860740Y-81061000D01*
X128794558Y-81054482D01*
X128728373Y-81047963D01*
X128615147Y-81013616D01*
X128558533Y-80996443D01*
X128402011Y-80912779D01*
X128402009Y-80912778D01*
X128366271Y-80883448D01*
X128264814Y-80800186D01*
X128181552Y-80698729D01*
X128152222Y-80662991D01*
X128142652Y-80645087D01*
X128068557Y-80506467D01*
X128051384Y-80449853D01*
X128017037Y-80336627D01*
X127999641Y-80160000D01*
X128017037Y-79983373D01*
X128068557Y-79813534D01*
X128068557Y-79813533D01*
X128152221Y-79657011D01*
X128152222Y-79657009D01*
X128181552Y-79621271D01*
X128264814Y-79519814D01*
X128366271Y-79436552D01*
X128402009Y-79407222D01*
X128419913Y-79397652D01*
X128558533Y-79323557D01*
X128615147Y-79306384D01*
X128728373Y-79272037D01*
X128794557Y-79265519D01*
X128860740Y-79259000D01*
X128949260Y-79259000D01*
X129015443Y-79265519D01*
X129015443Y-79265519D01*
G37*
G36*
X131555443Y-79265519D02*
X131621627Y-79272037D01*
X131734853Y-79306384D01*
X131791467Y-79323557D01*
X131930087Y-79397652D01*
X131947991Y-79407222D01*
X131983729Y-79436552D01*
X132085186Y-79519814D01*
X132168448Y-79621271D01*
X132197778Y-79657009D01*
X132197779Y-79657011D01*
X132281443Y-79813533D01*
X132281443Y-79813534D01*
X132332963Y-79983373D01*
X132350359Y-80160000D01*
X132332963Y-80336627D01*
X132298616Y-80449853D01*
X132281443Y-80506467D01*
X132207348Y-80645087D01*
X132197778Y-80662991D01*
X132168448Y-80698729D01*
X132085186Y-80800186D01*
X131983729Y-80883448D01*
X131947991Y-80912778D01*
X131947989Y-80912779D01*
X131791467Y-80996443D01*
X131734853Y-81013616D01*
X131621627Y-81047963D01*
X131555442Y-81054482D01*
X131489260Y-81061000D01*
X131400740Y-81061000D01*
X131334558Y-81054482D01*
X131268373Y-81047963D01*
X131155147Y-81013616D01*
X131098533Y-80996443D01*
X130942011Y-80912779D01*
X130942009Y-80912778D01*
X130906271Y-80883448D01*
X130804814Y-80800186D01*
X130721552Y-80698729D01*
X130692222Y-80662991D01*
X130682652Y-80645087D01*
X130608557Y-80506467D01*
X130591384Y-80449853D01*
X130557037Y-80336627D01*
X130539641Y-80160000D01*
X130557037Y-79983373D01*
X130608557Y-79813534D01*
X130608557Y-79813533D01*
X130692221Y-79657011D01*
X130692222Y-79657009D01*
X130721552Y-79621271D01*
X130804814Y-79519814D01*
X130906271Y-79436552D01*
X130942009Y-79407222D01*
X130959913Y-79397652D01*
X131098533Y-79323557D01*
X131155147Y-79306384D01*
X131268373Y-79272037D01*
X131334557Y-79265519D01*
X131400740Y-79259000D01*
X131489260Y-79259000D01*
X131555443Y-79265519D01*
X131555443Y-79265519D01*
G37*
G36*
X131555442Y-76725518D02*
X131621627Y-76732037D01*
X131734853Y-76766384D01*
X131791467Y-76783557D01*
X131930087Y-76857652D01*
X131947991Y-76867222D01*
X131983729Y-76896552D01*
X132085186Y-76979814D01*
X132168448Y-77081271D01*
X132197778Y-77117009D01*
X132197779Y-77117011D01*
X132281443Y-77273533D01*
X132281443Y-77273534D01*
X132332963Y-77443373D01*
X132350359Y-77620000D01*
X132332963Y-77796627D01*
X132298616Y-77909853D01*
X132281443Y-77966467D01*
X132207348Y-78105087D01*
X132197778Y-78122991D01*
X132168448Y-78158729D01*
X132085186Y-78260186D01*
X131983729Y-78343448D01*
X131947991Y-78372778D01*
X131947989Y-78372779D01*
X131791467Y-78456443D01*
X131734853Y-78473616D01*
X131621627Y-78507963D01*
X131555443Y-78514481D01*
X131489260Y-78521000D01*
X131400740Y-78521000D01*
X131334557Y-78514481D01*
X131268373Y-78507963D01*
X131155147Y-78473616D01*
X131098533Y-78456443D01*
X130942011Y-78372779D01*
X130942009Y-78372778D01*
X130906271Y-78343448D01*
X130804814Y-78260186D01*
X130721552Y-78158729D01*
X130692222Y-78122991D01*
X130682652Y-78105087D01*
X130608557Y-77966467D01*
X130591384Y-77909853D01*
X130557037Y-77796627D01*
X130539641Y-77620000D01*
X130557037Y-77443373D01*
X130608557Y-77273534D01*
X130608557Y-77273533D01*
X130692221Y-77117011D01*
X130692222Y-77117009D01*
X130721552Y-77081271D01*
X130804814Y-76979814D01*
X130906271Y-76896552D01*
X130942009Y-76867222D01*
X130959913Y-76857652D01*
X131098533Y-76783557D01*
X131155147Y-76766384D01*
X131268373Y-76732037D01*
X131334558Y-76725518D01*
X131400740Y-76719000D01*
X131489260Y-76719000D01*
X131555442Y-76725518D01*
X131555442Y-76725518D01*
G37*
G36*
X129015442Y-76725518D02*
X129081627Y-76732037D01*
X129194853Y-76766384D01*
X129251467Y-76783557D01*
X129390087Y-76857652D01*
X129407991Y-76867222D01*
X129443729Y-76896552D01*
X129545186Y-76979814D01*
X129628448Y-77081271D01*
X129657778Y-77117009D01*
X129657779Y-77117011D01*
X129741443Y-77273533D01*
X129741443Y-77273534D01*
X129792963Y-77443373D01*
X129810359Y-77620000D01*
X129792963Y-77796627D01*
X129758616Y-77909853D01*
X129741443Y-77966467D01*
X129667348Y-78105087D01*
X129657778Y-78122991D01*
X129628448Y-78158729D01*
X129545186Y-78260186D01*
X129443729Y-78343448D01*
X129407991Y-78372778D01*
X129407989Y-78372779D01*
X129251467Y-78456443D01*
X129194853Y-78473616D01*
X129081627Y-78507963D01*
X129015443Y-78514481D01*
X128949260Y-78521000D01*
X128860740Y-78521000D01*
X128794557Y-78514481D01*
X128728373Y-78507963D01*
X128615147Y-78473616D01*
X128558533Y-78456443D01*
X128402011Y-78372779D01*
X128402009Y-78372778D01*
X128366271Y-78343448D01*
X128264814Y-78260186D01*
X128181552Y-78158729D01*
X128152222Y-78122991D01*
X128142652Y-78105087D01*
X128068557Y-77966467D01*
X128051384Y-77909853D01*
X128017037Y-77796627D01*
X127999641Y-77620000D01*
X128017037Y-77443373D01*
X128068557Y-77273534D01*
X128068557Y-77273533D01*
X128152221Y-77117011D01*
X128152222Y-77117009D01*
X128181552Y-77081271D01*
X128264814Y-76979814D01*
X128366271Y-76896552D01*
X128402009Y-76867222D01*
X128419913Y-76857652D01*
X128558533Y-76783557D01*
X128615147Y-76766384D01*
X128728373Y-76732037D01*
X128794558Y-76725518D01*
X128860740Y-76719000D01*
X128949260Y-76719000D01*
X129015442Y-76725518D01*
X129015442Y-76725518D01*
G37*
G36*
X131555442Y-74185518D02*
X131621627Y-74192037D01*
X131734853Y-74226384D01*
X131791467Y-74243557D01*
X131930087Y-74317652D01*
X131947991Y-74327222D01*
X131983729Y-74356552D01*
X132085186Y-74439814D01*
X132168448Y-74541271D01*
X132197778Y-74577009D01*
X132197779Y-74577011D01*
X132281443Y-74733533D01*
X132281443Y-74733534D01*
X132332963Y-74903373D01*
X132350359Y-75080000D01*
X132332963Y-75256627D01*
X132298616Y-75369853D01*
X132281443Y-75426467D01*
X132207348Y-75565087D01*
X132197778Y-75582991D01*
X132168448Y-75618729D01*
X132085186Y-75720186D01*
X131983729Y-75803448D01*
X131947991Y-75832778D01*
X131947989Y-75832779D01*
X131791467Y-75916443D01*
X131734853Y-75933616D01*
X131621627Y-75967963D01*
X131555442Y-75974482D01*
X131489260Y-75981000D01*
X131400740Y-75981000D01*
X131334558Y-75974482D01*
X131268373Y-75967963D01*
X131155147Y-75933616D01*
X131098533Y-75916443D01*
X130942011Y-75832779D01*
X130942009Y-75832778D01*
X130906271Y-75803448D01*
X130804814Y-75720186D01*
X130721552Y-75618729D01*
X130692222Y-75582991D01*
X130682652Y-75565087D01*
X130608557Y-75426467D01*
X130591384Y-75369853D01*
X130557037Y-75256627D01*
X130539641Y-75080000D01*
X130557037Y-74903373D01*
X130608557Y-74733534D01*
X130608557Y-74733533D01*
X130692221Y-74577011D01*
X130692222Y-74577009D01*
X130721552Y-74541271D01*
X130804814Y-74439814D01*
X130906271Y-74356552D01*
X130942009Y-74327222D01*
X130959913Y-74317652D01*
X131098533Y-74243557D01*
X131155147Y-74226384D01*
X131268373Y-74192037D01*
X131334558Y-74185518D01*
X131400740Y-74179000D01*
X131489260Y-74179000D01*
X131555442Y-74185518D01*
X131555442Y-74185518D01*
G37*
G36*
X129015442Y-74185518D02*
X129081627Y-74192037D01*
X129194853Y-74226384D01*
X129251467Y-74243557D01*
X129390087Y-74317652D01*
X129407991Y-74327222D01*
X129443729Y-74356552D01*
X129545186Y-74439814D01*
X129628448Y-74541271D01*
X129657778Y-74577009D01*
X129657779Y-74577011D01*
X129741443Y-74733533D01*
X129741443Y-74733534D01*
X129792963Y-74903373D01*
X129810359Y-75080000D01*
X129792963Y-75256627D01*
X129758616Y-75369853D01*
X129741443Y-75426467D01*
X129667348Y-75565087D01*
X129657778Y-75582991D01*
X129628448Y-75618729D01*
X129545186Y-75720186D01*
X129443729Y-75803448D01*
X129407991Y-75832778D01*
X129407989Y-75832779D01*
X129251467Y-75916443D01*
X129194853Y-75933616D01*
X129081627Y-75967963D01*
X129015442Y-75974482D01*
X128949260Y-75981000D01*
X128860740Y-75981000D01*
X128794558Y-75974482D01*
X128728373Y-75967963D01*
X128615147Y-75933616D01*
X128558533Y-75916443D01*
X128402011Y-75832779D01*
X128402009Y-75832778D01*
X128366271Y-75803448D01*
X128264814Y-75720186D01*
X128181552Y-75618729D01*
X128152222Y-75582991D01*
X128142652Y-75565087D01*
X128068557Y-75426467D01*
X128051384Y-75369853D01*
X128017037Y-75256627D01*
X127999641Y-75080000D01*
X128017037Y-74903373D01*
X128068557Y-74733534D01*
X128068557Y-74733533D01*
X128152221Y-74577011D01*
X128152222Y-74577009D01*
X128181552Y-74541271D01*
X128264814Y-74439814D01*
X128366271Y-74356552D01*
X128402009Y-74327222D01*
X128419913Y-74317652D01*
X128558533Y-74243557D01*
X128615147Y-74226384D01*
X128728373Y-74192037D01*
X128794558Y-74185518D01*
X128860740Y-74179000D01*
X128949260Y-74179000D01*
X129015442Y-74185518D01*
X129015442Y-74185518D01*
G37*
G36*
X131555442Y-71645518D02*
X131621627Y-71652037D01*
X131734853Y-71686384D01*
X131791467Y-71703557D01*
X131930087Y-71777652D01*
X131947991Y-71787222D01*
X131983729Y-71816552D01*
X132085186Y-71899814D01*
X132168448Y-72001271D01*
X132197778Y-72037009D01*
X132197779Y-72037011D01*
X132281443Y-72193533D01*
X132281443Y-72193534D01*
X132332963Y-72363373D01*
X132350359Y-72540000D01*
X132332963Y-72716627D01*
X132298616Y-72829853D01*
X132281443Y-72886467D01*
X132207348Y-73025087D01*
X132197778Y-73042991D01*
X132168448Y-73078729D01*
X132085186Y-73180186D01*
X131983729Y-73263448D01*
X131947991Y-73292778D01*
X131947989Y-73292779D01*
X131791467Y-73376443D01*
X131734853Y-73393616D01*
X131621627Y-73427963D01*
X131555442Y-73434482D01*
X131489260Y-73441000D01*
X131400740Y-73441000D01*
X131334558Y-73434482D01*
X131268373Y-73427963D01*
X131155147Y-73393616D01*
X131098533Y-73376443D01*
X130942011Y-73292779D01*
X130942009Y-73292778D01*
X130906271Y-73263448D01*
X130804814Y-73180186D01*
X130721552Y-73078729D01*
X130692222Y-73042991D01*
X130682652Y-73025087D01*
X130608557Y-72886467D01*
X130591384Y-72829853D01*
X130557037Y-72716627D01*
X130539641Y-72540000D01*
X130557037Y-72363373D01*
X130608557Y-72193534D01*
X130608557Y-72193533D01*
X130692221Y-72037011D01*
X130692222Y-72037009D01*
X130721552Y-72001271D01*
X130804814Y-71899814D01*
X130906271Y-71816552D01*
X130942009Y-71787222D01*
X130959913Y-71777652D01*
X131098533Y-71703557D01*
X131155147Y-71686384D01*
X131268373Y-71652037D01*
X131334558Y-71645518D01*
X131400740Y-71639000D01*
X131489260Y-71639000D01*
X131555442Y-71645518D01*
X131555442Y-71645518D01*
G37*
G36*
X129015442Y-71645518D02*
X129081627Y-71652037D01*
X129194853Y-71686384D01*
X129251467Y-71703557D01*
X129390087Y-71777652D01*
X129407991Y-71787222D01*
X129443729Y-71816552D01*
X129545186Y-71899814D01*
X129628448Y-72001271D01*
X129657778Y-72037009D01*
X129657779Y-72037011D01*
X129741443Y-72193533D01*
X129741443Y-72193534D01*
X129792963Y-72363373D01*
X129810359Y-72540000D01*
X129792963Y-72716627D01*
X129758616Y-72829853D01*
X129741443Y-72886467D01*
X129667348Y-73025087D01*
X129657778Y-73042991D01*
X129628448Y-73078729D01*
X129545186Y-73180186D01*
X129443729Y-73263448D01*
X129407991Y-73292778D01*
X129407989Y-73292779D01*
X129251467Y-73376443D01*
X129194853Y-73393616D01*
X129081627Y-73427963D01*
X129015442Y-73434482D01*
X128949260Y-73441000D01*
X128860740Y-73441000D01*
X128794558Y-73434482D01*
X128728373Y-73427963D01*
X128615147Y-73393616D01*
X128558533Y-73376443D01*
X128402011Y-73292779D01*
X128402009Y-73292778D01*
X128366271Y-73263448D01*
X128264814Y-73180186D01*
X128181552Y-73078729D01*
X128152222Y-73042991D01*
X128142652Y-73025087D01*
X128068557Y-72886467D01*
X128051384Y-72829853D01*
X128017037Y-72716627D01*
X127999641Y-72540000D01*
X128017037Y-72363373D01*
X128068557Y-72193534D01*
X128068557Y-72193533D01*
X128152221Y-72037011D01*
X128152222Y-72037009D01*
X128181552Y-72001271D01*
X128264814Y-71899814D01*
X128366271Y-71816552D01*
X128402009Y-71787222D01*
X128419913Y-71777652D01*
X128558533Y-71703557D01*
X128615147Y-71686384D01*
X128728373Y-71652037D01*
X128794558Y-71645518D01*
X128860740Y-71639000D01*
X128949260Y-71639000D01*
X129015442Y-71645518D01*
X129015442Y-71645518D01*
G37*
G36*
X132346000Y-70901000D02*
X130544000Y-70901000D01*
X130544000Y-69099000D01*
X132346000Y-69099000D01*
X132346000Y-70901000D01*
X132346000Y-70901000D01*
G37*
G36*
X129015443Y-69105519D02*
X129081627Y-69112037D01*
X129194853Y-69146384D01*
X129251467Y-69163557D01*
X129334648Y-69208019D01*
X129407991Y-69247222D01*
X129443729Y-69276552D01*
X129545186Y-69359814D01*
X129628448Y-69461271D01*
X129657778Y-69497009D01*
X129657779Y-69497011D01*
X129741443Y-69653533D01*
X129741443Y-69653534D01*
X129792963Y-69823373D01*
X129810359Y-70000000D01*
X129792963Y-70176627D01*
X129762356Y-70277525D01*
X129741443Y-70346467D01*
X129719737Y-70387075D01*
X129657778Y-70502991D01*
X129628448Y-70538729D01*
X129545186Y-70640186D01*
X129443729Y-70723448D01*
X129407991Y-70752778D01*
X129407989Y-70752779D01*
X129251467Y-70836443D01*
X129194853Y-70853616D01*
X129081627Y-70887963D01*
X129015442Y-70894482D01*
X128949260Y-70901000D01*
X128860740Y-70901000D01*
X128794558Y-70894482D01*
X128728373Y-70887963D01*
X128615147Y-70853616D01*
X128558533Y-70836443D01*
X128402011Y-70752779D01*
X128402009Y-70752778D01*
X128366271Y-70723448D01*
X128264814Y-70640186D01*
X128181552Y-70538729D01*
X128152222Y-70502991D01*
X128090263Y-70387075D01*
X128068557Y-70346467D01*
X128047644Y-70277525D01*
X128017037Y-70176627D01*
X127999641Y-70000000D01*
X128017037Y-69823373D01*
X128068557Y-69653534D01*
X128068557Y-69653533D01*
X128152221Y-69497011D01*
X128152222Y-69497009D01*
X128181552Y-69461271D01*
X128264814Y-69359814D01*
X128366271Y-69276552D01*
X128402009Y-69247222D01*
X128475352Y-69208019D01*
X128558533Y-69163557D01*
X128615147Y-69146384D01*
X128728373Y-69112037D01*
X128794557Y-69105519D01*
X128860740Y-69099000D01*
X128949260Y-69099000D01*
X129015443Y-69105519D01*
X129015443Y-69105519D01*
G37*
G36*
X144791981Y-68537468D02*
X144974150Y-68612925D01*
X145138103Y-68722475D01*
X145277525Y-68861897D01*
X145387075Y-69025850D01*
X145462532Y-69208019D01*
X145501000Y-69401410D01*
X145501000Y-69598590D01*
X145462532Y-69791981D01*
X145387075Y-69974150D01*
X145277525Y-70138103D01*
X145138103Y-70277525D01*
X144974150Y-70387075D01*
X144791981Y-70462532D01*
X144598590Y-70501000D01*
X144401410Y-70501000D01*
X144208019Y-70462532D01*
X144025850Y-70387075D01*
X143861897Y-70277525D01*
X143722475Y-70138103D01*
X143612925Y-69974150D01*
X143537468Y-69791981D01*
X143499000Y-69598590D01*
X143499000Y-69401410D01*
X143537468Y-69208019D01*
X143612925Y-69025850D01*
X143722475Y-68861897D01*
X143861897Y-68722475D01*
X144025850Y-68612925D01*
X144208019Y-68537468D01*
X144401410Y-68499000D01*
X144598590Y-68499000D01*
X144791981Y-68537468D01*
X144791981Y-68537468D01*
G37*
G36*
X149691981Y-67937468D02*
X149874150Y-68012925D01*
X150038103Y-68122475D01*
X150177525Y-68261897D01*
X150287075Y-68425850D01*
X150362532Y-68608019D01*
X150401000Y-68801410D01*
X150401000Y-68998590D01*
X150362532Y-69191981D01*
X150287075Y-69374150D01*
X150177525Y-69538103D01*
X150038103Y-69677525D01*
X149874150Y-69787075D01*
X149691981Y-69862532D01*
X149498590Y-69901000D01*
X149301410Y-69901000D01*
X149108019Y-69862532D01*
X148925850Y-69787075D01*
X148761897Y-69677525D01*
X148622475Y-69538103D01*
X148512925Y-69374150D01*
X148437468Y-69191981D01*
X148399000Y-68998590D01*
X148399000Y-68801410D01*
X148437468Y-68608019D01*
X148512925Y-68425850D01*
X148622475Y-68261897D01*
X148761897Y-68122475D01*
X148925850Y-68012925D01*
X149108019Y-67937468D01*
X149301410Y-67899000D01*
X149498590Y-67899000D01*
X149691981Y-67937468D01*
X149691981Y-67937468D01*
G37*
M02*

Wyświetl plik

@ -0,0 +1,15 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-06-27T14:22:49+01:00*
G04 #@! TF.ProjectId,picodvi_pmod,7069636f-6476-4695-9f70-6d6f642e6b69,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Sat 27 Jun 2020 14:22:49 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

Wyświetl plik

@ -0,0 +1,15 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-06-27T14:22:49+01:00*
G04 #@! TF.ProjectId,picodvi_pmod,7069636f-6476-4695-9f70-6d6f642e6b69,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Sat 27 Jun 2020 14:22:49 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

Wyświetl plik

@ -0,0 +1,24 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-06-27T14:22:49+01:00*
G04 #@! TF.ProjectId,picodvi_pmod,7069636f-6476-4695-9f70-6d6f642e6b69,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Sat 27 Jun 2020 14:22:49 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.200000*%
G04 APERTURE END LIST*
D10*
X152000000Y-86000000D02*
X152000000Y-67500000D01*
X127000000Y-86000000D02*
X152000000Y-86000000D01*
X127000000Y-67500000D02*
X127000000Y-86000000D01*
X152000000Y-67500000D02*
X127000000Y-67500000D01*
M02*

Wyświetl plik

@ -0,0 +1,651 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2-bee76a0~70~ubuntu18.04.1*
G04 #@! TF.CreationDate,2020-06-27T14:22:49+01:00*
G04 #@! TF.ProjectId,picodvi_pmod,7069636f-6476-4695-9f70-6d6f642e6b69,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2-bee76a0~70~ubuntu18.04.1) date Sat 27 Jun 2020 14:22:49 BST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.120000*%
%ADD11C,0.150000*%
G04 APERTURE END LIST*
D10*
X138071428Y-80732142D02*
X138680952Y-80732142D01*
X138376190Y-81036904D02*
X138376190Y-80427380D01*
X139442857Y-80236904D02*
X139061904Y-80236904D01*
X139023809Y-80617857D01*
X139061904Y-80579761D01*
X139138095Y-80541666D01*
X139328571Y-80541666D01*
X139404761Y-80579761D01*
X139442857Y-80617857D01*
X139480952Y-80694047D01*
X139480952Y-80884523D01*
X139442857Y-80960714D01*
X139404761Y-80998809D01*
X139328571Y-81036904D01*
X139138095Y-81036904D01*
X139061904Y-80998809D01*
X139023809Y-80960714D01*
X139709523Y-80236904D02*
X139976190Y-81036904D01*
X140242857Y-80236904D01*
X135840476Y-80300000D02*
X135764285Y-80261904D01*
X135650000Y-80261904D01*
X135535714Y-80300000D01*
X135459523Y-80376190D01*
X135421428Y-80452380D01*
X135383333Y-80604761D01*
X135383333Y-80719047D01*
X135421428Y-80871428D01*
X135459523Y-80947619D01*
X135535714Y-81023809D01*
X135650000Y-81061904D01*
X135726190Y-81061904D01*
X135840476Y-81023809D01*
X135878571Y-80985714D01*
X135878571Y-80719047D01*
X135726190Y-80719047D01*
X136221428Y-81061904D02*
X136221428Y-80261904D01*
X136678571Y-81061904D01*
X136678571Y-80261904D01*
X137059523Y-81061904D02*
X137059523Y-80261904D01*
X137250000Y-80261904D01*
X137364285Y-80300000D01*
X137440476Y-80376190D01*
X137478571Y-80452380D01*
X137516666Y-80604761D01*
X137516666Y-80719047D01*
X137478571Y-80871428D01*
X137440476Y-80947619D01*
X137364285Y-81023809D01*
X137250000Y-81061904D01*
X137059523Y-81061904D01*
D11*
X146902380Y-81369047D02*
X147616666Y-81369047D01*
X147759523Y-81416666D01*
X147854761Y-81511904D01*
X147902380Y-81654761D01*
X147902380Y-81750000D01*
X147902380Y-80416666D02*
X147902380Y-80892857D01*
X146902380Y-80892857D01*
X147807142Y-79511904D02*
X147854761Y-79559523D01*
X147902380Y-79702380D01*
X147902380Y-79797619D01*
X147854761Y-79940476D01*
X147759523Y-80035714D01*
X147664285Y-80083333D01*
X147473809Y-80130952D01*
X147330952Y-80130952D01*
X147140476Y-80083333D01*
X147045238Y-80035714D01*
X146950000Y-79940476D01*
X146902380Y-79797619D01*
X146902380Y-79702380D01*
X146950000Y-79559523D01*
X146997619Y-79511904D01*
X146902380Y-78797619D02*
X147616666Y-78797619D01*
X147759523Y-78845238D01*
X147854761Y-78940476D01*
X147902380Y-79083333D01*
X147902380Y-79178571D01*
X147902380Y-77845238D02*
X147902380Y-78321428D01*
X146902380Y-78321428D01*
X147807142Y-76940476D02*
X147854761Y-76988095D01*
X147902380Y-77130952D01*
X147902380Y-77226190D01*
X147854761Y-77369047D01*
X147759523Y-77464285D01*
X147664285Y-77511904D01*
X147473809Y-77559523D01*
X147330952Y-77559523D01*
X147140476Y-77511904D01*
X147045238Y-77464285D01*
X146950000Y-77369047D01*
X146902380Y-77226190D01*
X146902380Y-77130952D01*
X146950000Y-76988095D01*
X146997619Y-76940476D01*
X146902380Y-76226190D02*
X147616666Y-76226190D01*
X147759523Y-76273809D01*
X147854761Y-76369047D01*
X147902380Y-76511904D01*
X147902380Y-76607142D01*
X147902380Y-75273809D02*
X147902380Y-75750000D01*
X146902380Y-75750000D01*
X147807142Y-74369047D02*
X147854761Y-74416666D01*
X147902380Y-74559523D01*
X147902380Y-74654761D01*
X147854761Y-74797619D01*
X147759523Y-74892857D01*
X147664285Y-74940476D01*
X147473809Y-74988095D01*
X147330952Y-74988095D01*
X147140476Y-74940476D01*
X147045238Y-74892857D01*
X146950000Y-74797619D01*
X146902380Y-74654761D01*
X146902380Y-74559523D01*
X146950000Y-74416666D01*
X146997619Y-74369047D01*
X146902380Y-73654761D02*
X147616666Y-73654761D01*
X147759523Y-73702380D01*
X147854761Y-73797619D01*
X147902380Y-73940476D01*
X147902380Y-74035714D01*
X147902380Y-72702380D02*
X147902380Y-73178571D01*
X146902380Y-73178571D01*
X147807142Y-71797619D02*
X147854761Y-71845238D01*
X147902380Y-71988095D01*
X147902380Y-72083333D01*
X147854761Y-72226190D01*
X147759523Y-72321428D01*
X147664285Y-72369047D01*
X147473809Y-72416666D01*
X147330952Y-72416666D01*
X147140476Y-72369047D01*
X147045238Y-72321428D01*
X146950000Y-72226190D01*
X146902380Y-72083333D01*
X146902380Y-71988095D01*
X146950000Y-71845238D01*
X146997619Y-71797619D01*
D10*
G04 #@! TO.C,J1*
X118815000Y-68790000D02*
X127445000Y-68790000D01*
X118815000Y-68908095D02*
X127445000Y-68908095D01*
X118815000Y-69026190D02*
X127445000Y-69026190D01*
X118815000Y-69144285D02*
X127445000Y-69144285D01*
X118815000Y-69262380D02*
X127445000Y-69262380D01*
X118815000Y-69380475D02*
X127445000Y-69380475D01*
X118815000Y-69498570D02*
X127445000Y-69498570D01*
X118815000Y-69616665D02*
X127445000Y-69616665D01*
X118815000Y-69734760D02*
X127445000Y-69734760D01*
X118815000Y-69852855D02*
X127445000Y-69852855D01*
X118815000Y-69970950D02*
X127445000Y-69970950D01*
X118815000Y-70089045D02*
X127445000Y-70089045D01*
X118815000Y-70207140D02*
X127445000Y-70207140D01*
X118815000Y-70325235D02*
X127445000Y-70325235D01*
X118815000Y-70443330D02*
X127445000Y-70443330D01*
X118815000Y-70561425D02*
X127445000Y-70561425D01*
X118815000Y-70679520D02*
X127445000Y-70679520D01*
X118815000Y-70797615D02*
X127445000Y-70797615D01*
X118815000Y-70915710D02*
X127445000Y-70915710D01*
X118815000Y-71033805D02*
X127445000Y-71033805D01*
X118815000Y-71151900D02*
X127445000Y-71151900D01*
X127445000Y-69640000D02*
X127855000Y-69640000D01*
X129955000Y-69640000D02*
X130335000Y-69640000D01*
X127445000Y-70360000D02*
X127855000Y-70360000D01*
X129955000Y-70360000D02*
X130335000Y-70360000D01*
X127445000Y-72180000D02*
X127855000Y-72180000D01*
X129955000Y-72180000D02*
X130395000Y-72180000D01*
X127445000Y-72900000D02*
X127855000Y-72900000D01*
X129955000Y-72900000D02*
X130395000Y-72900000D01*
X127445000Y-74720000D02*
X127855000Y-74720000D01*
X129955000Y-74720000D02*
X130395000Y-74720000D01*
X127445000Y-75440000D02*
X127855000Y-75440000D01*
X129955000Y-75440000D02*
X130395000Y-75440000D01*
X127445000Y-77260000D02*
X127855000Y-77260000D01*
X129955000Y-77260000D02*
X130395000Y-77260000D01*
X127445000Y-77980000D02*
X127855000Y-77980000D01*
X129955000Y-77980000D02*
X130395000Y-77980000D01*
X127445000Y-79800000D02*
X127855000Y-79800000D01*
X129955000Y-79800000D02*
X130395000Y-79800000D01*
X127445000Y-80520000D02*
X127855000Y-80520000D01*
X129955000Y-80520000D02*
X130395000Y-80520000D01*
X127445000Y-82340000D02*
X127855000Y-82340000D01*
X129955000Y-82340000D02*
X130395000Y-82340000D01*
X127445000Y-83060000D02*
X127855000Y-83060000D01*
X129955000Y-83060000D02*
X130395000Y-83060000D01*
X118815000Y-71270000D02*
X127445000Y-71270000D01*
X118815000Y-73810000D02*
X127445000Y-73810000D01*
X118815000Y-76350000D02*
X127445000Y-76350000D01*
X118815000Y-78890000D02*
X127445000Y-78890000D01*
X118815000Y-81430000D02*
X127445000Y-81430000D01*
X118815000Y-68670000D02*
X127445000Y-68670000D01*
X127445000Y-68670000D02*
X127445000Y-84030000D01*
X118815000Y-84030000D02*
X127445000Y-84030000D01*
X118815000Y-68670000D02*
X118815000Y-84030000D01*
X132555000Y-68670000D02*
X132555000Y-70000000D01*
X131445000Y-68670000D02*
X132555000Y-68670000D01*
G04 #@! TO.C,J2*
X135130000Y-81670000D02*
X135130000Y-84330000D01*
X137730000Y-81670000D02*
X135130000Y-81670000D01*
X137730000Y-84330000D02*
X135130000Y-84330000D01*
X137730000Y-81670000D02*
X137730000Y-84330000D01*
X139000000Y-81670000D02*
X140330000Y-81670000D01*
X140330000Y-81670000D02*
X140330000Y-83000000D01*
D11*
G04 #@! TO.C,J3*
X151900000Y-68950000D02*
X151900000Y-84550000D01*
X151900000Y-84650000D02*
X150700000Y-84650000D01*
X151900000Y-68950000D02*
X150700000Y-68950000D01*
G04 #@! TO.C,C1*
D10*
X137866666Y-72285714D02*
X137828571Y-72323809D01*
X137714285Y-72361904D01*
X137638095Y-72361904D01*
X137523809Y-72323809D01*
X137447619Y-72247619D01*
X137409523Y-72171428D01*
X137371428Y-72019047D01*
X137371428Y-71904761D01*
X137409523Y-71752380D01*
X137447619Y-71676190D01*
X137523809Y-71600000D01*
X137638095Y-71561904D01*
X137714285Y-71561904D01*
X137828571Y-71600000D01*
X137866666Y-71638095D01*
X138628571Y-72361904D02*
X138171428Y-72361904D01*
X138400000Y-72361904D02*
X138400000Y-71561904D01*
X138323809Y-71676190D01*
X138247619Y-71752380D01*
X138171428Y-71790476D01*
G04 #@! TO.C,C2*
X137866666Y-74285714D02*
X137828571Y-74323809D01*
X137714285Y-74361904D01*
X137638095Y-74361904D01*
X137523809Y-74323809D01*
X137447619Y-74247619D01*
X137409523Y-74171428D01*
X137371428Y-74019047D01*
X137371428Y-73904761D01*
X137409523Y-73752380D01*
X137447619Y-73676190D01*
X137523809Y-73600000D01*
X137638095Y-73561904D01*
X137714285Y-73561904D01*
X137828571Y-73600000D01*
X137866666Y-73638095D01*
X138171428Y-73638095D02*
X138209523Y-73600000D01*
X138285714Y-73561904D01*
X138476190Y-73561904D01*
X138552380Y-73600000D01*
X138590476Y-73638095D01*
X138628571Y-73714285D01*
X138628571Y-73790476D01*
X138590476Y-73904761D01*
X138133333Y-74361904D01*
X138628571Y-74361904D01*
G04 #@! TO.C,C3*
X137866666Y-76285714D02*
X137828571Y-76323809D01*
X137714285Y-76361904D01*
X137638095Y-76361904D01*
X137523809Y-76323809D01*
X137447619Y-76247619D01*
X137409523Y-76171428D01*
X137371428Y-76019047D01*
X137371428Y-75904761D01*
X137409523Y-75752380D01*
X137447619Y-75676190D01*
X137523809Y-75600000D01*
X137638095Y-75561904D01*
X137714285Y-75561904D01*
X137828571Y-75600000D01*
X137866666Y-75638095D01*
X138133333Y-75561904D02*
X138628571Y-75561904D01*
X138361904Y-75866666D01*
X138476190Y-75866666D01*
X138552380Y-75904761D01*
X138590476Y-75942857D01*
X138628571Y-76019047D01*
X138628571Y-76209523D01*
X138590476Y-76285714D01*
X138552380Y-76323809D01*
X138476190Y-76361904D01*
X138247619Y-76361904D01*
X138171428Y-76323809D01*
X138133333Y-76285714D01*
G04 #@! TO.C,C4*
X137866666Y-78285714D02*
X137828571Y-78323809D01*
X137714285Y-78361904D01*
X137638095Y-78361904D01*
X137523809Y-78323809D01*
X137447619Y-78247619D01*
X137409523Y-78171428D01*
X137371428Y-78019047D01*
X137371428Y-77904761D01*
X137409523Y-77752380D01*
X137447619Y-77676190D01*
X137523809Y-77600000D01*
X137638095Y-77561904D01*
X137714285Y-77561904D01*
X137828571Y-77600000D01*
X137866666Y-77638095D01*
X138552380Y-77828571D02*
X138552380Y-78361904D01*
X138361904Y-77523809D02*
X138171428Y-78095238D01*
X138666666Y-78095238D01*
G04 #@! TO.C,C5*
X137866666Y-73285714D02*
X137828571Y-73323809D01*
X137714285Y-73361904D01*
X137638095Y-73361904D01*
X137523809Y-73323809D01*
X137447619Y-73247619D01*
X137409523Y-73171428D01*
X137371428Y-73019047D01*
X137371428Y-72904761D01*
X137409523Y-72752380D01*
X137447619Y-72676190D01*
X137523809Y-72600000D01*
X137638095Y-72561904D01*
X137714285Y-72561904D01*
X137828571Y-72600000D01*
X137866666Y-72638095D01*
X138590476Y-72561904D02*
X138209523Y-72561904D01*
X138171428Y-72942857D01*
X138209523Y-72904761D01*
X138285714Y-72866666D01*
X138476190Y-72866666D01*
X138552380Y-72904761D01*
X138590476Y-72942857D01*
X138628571Y-73019047D01*
X138628571Y-73209523D01*
X138590476Y-73285714D01*
X138552380Y-73323809D01*
X138476190Y-73361904D01*
X138285714Y-73361904D01*
X138209523Y-73323809D01*
X138171428Y-73285714D01*
G04 #@! TO.C,C6*
X137866666Y-75285714D02*
X137828571Y-75323809D01*
X137714285Y-75361904D01*
X137638095Y-75361904D01*
X137523809Y-75323809D01*
X137447619Y-75247619D01*
X137409523Y-75171428D01*
X137371428Y-75019047D01*
X137371428Y-74904761D01*
X137409523Y-74752380D01*
X137447619Y-74676190D01*
X137523809Y-74600000D01*
X137638095Y-74561904D01*
X137714285Y-74561904D01*
X137828571Y-74600000D01*
X137866666Y-74638095D01*
X138552380Y-74561904D02*
X138400000Y-74561904D01*
X138323809Y-74600000D01*
X138285714Y-74638095D01*
X138209523Y-74752380D01*
X138171428Y-74904761D01*
X138171428Y-75209523D01*
X138209523Y-75285714D01*
X138247619Y-75323809D01*
X138323809Y-75361904D01*
X138476190Y-75361904D01*
X138552380Y-75323809D01*
X138590476Y-75285714D01*
X138628571Y-75209523D01*
X138628571Y-75019047D01*
X138590476Y-74942857D01*
X138552380Y-74904761D01*
X138476190Y-74866666D01*
X138323809Y-74866666D01*
X138247619Y-74904761D01*
X138209523Y-74942857D01*
X138171428Y-75019047D01*
G04 #@! TO.C,C7*
X137866666Y-77285714D02*
X137828571Y-77323809D01*
X137714285Y-77361904D01*
X137638095Y-77361904D01*
X137523809Y-77323809D01*
X137447619Y-77247619D01*
X137409523Y-77171428D01*
X137371428Y-77019047D01*
X137371428Y-76904761D01*
X137409523Y-76752380D01*
X137447619Y-76676190D01*
X137523809Y-76600000D01*
X137638095Y-76561904D01*
X137714285Y-76561904D01*
X137828571Y-76600000D01*
X137866666Y-76638095D01*
X138133333Y-76561904D02*
X138666666Y-76561904D01*
X138323809Y-77361904D01*
G04 #@! TO.C,C8*
X137866666Y-79285714D02*
X137828571Y-79323809D01*
X137714285Y-79361904D01*
X137638095Y-79361904D01*
X137523809Y-79323809D01*
X137447619Y-79247619D01*
X137409523Y-79171428D01*
X137371428Y-79019047D01*
X137371428Y-78904761D01*
X137409523Y-78752380D01*
X137447619Y-78676190D01*
X137523809Y-78600000D01*
X137638095Y-78561904D01*
X137714285Y-78561904D01*
X137828571Y-78600000D01*
X137866666Y-78638095D01*
X138323809Y-78904761D02*
X138247619Y-78866666D01*
X138209523Y-78828571D01*
X138171428Y-78752380D01*
X138171428Y-78714285D01*
X138209523Y-78638095D01*
X138247619Y-78600000D01*
X138323809Y-78561904D01*
X138476190Y-78561904D01*
X138552380Y-78600000D01*
X138590476Y-78638095D01*
X138628571Y-78714285D01*
X138628571Y-78752380D01*
X138590476Y-78828571D01*
X138552380Y-78866666D01*
X138476190Y-78904761D01*
X138323809Y-78904761D01*
X138247619Y-78942857D01*
X138209523Y-78980952D01*
X138171428Y-79057142D01*
X138171428Y-79209523D01*
X138209523Y-79285714D01*
X138247619Y-79323809D01*
X138323809Y-79361904D01*
X138476190Y-79361904D01*
X138552380Y-79323809D01*
X138590476Y-79285714D01*
X138628571Y-79209523D01*
X138628571Y-79057142D01*
X138590476Y-78980952D01*
X138552380Y-78942857D01*
X138476190Y-78904761D01*
G04 #@! TO.C,C9*
X141366666Y-85535714D02*
X141328571Y-85573809D01*
X141214285Y-85611904D01*
X141138095Y-85611904D01*
X141023809Y-85573809D01*
X140947619Y-85497619D01*
X140909523Y-85421428D01*
X140871428Y-85269047D01*
X140871428Y-85154761D01*
X140909523Y-85002380D01*
X140947619Y-84926190D01*
X141023809Y-84850000D01*
X141138095Y-84811904D01*
X141214285Y-84811904D01*
X141328571Y-84850000D01*
X141366666Y-84888095D01*
X141747619Y-85611904D02*
X141900000Y-85611904D01*
X141976190Y-85573809D01*
X142014285Y-85535714D01*
X142090476Y-85421428D01*
X142128571Y-85269047D01*
X142128571Y-84964285D01*
X142090476Y-84888095D01*
X142052380Y-84850000D01*
X141976190Y-84811904D01*
X141823809Y-84811904D01*
X141747619Y-84850000D01*
X141709523Y-84888095D01*
X141671428Y-84964285D01*
X141671428Y-85154761D01*
X141709523Y-85230952D01*
X141747619Y-85269047D01*
X141823809Y-85307142D01*
X141976190Y-85307142D01*
X142052380Y-85269047D01*
X142090476Y-85230952D01*
X142128571Y-85154761D01*
G04 #@! TO.C,J1*
X133733333Y-68561904D02*
X133733333Y-69133333D01*
X133695238Y-69247619D01*
X133619047Y-69323809D01*
X133504761Y-69361904D01*
X133428571Y-69361904D01*
X134533333Y-69361904D02*
X134076190Y-69361904D01*
X134304761Y-69361904D02*
X134304761Y-68561904D01*
X134228571Y-68676190D01*
X134152380Y-68752380D01*
X134076190Y-68790476D01*
G04 #@! TO.C,J2*
X132733333Y-84561904D02*
X132733333Y-85133333D01*
X132695238Y-85247619D01*
X132619047Y-85323809D01*
X132504761Y-85361904D01*
X132428571Y-85361904D01*
X133076190Y-84638095D02*
X133114285Y-84600000D01*
X133190476Y-84561904D01*
X133380952Y-84561904D01*
X133457142Y-84600000D01*
X133495238Y-84638095D01*
X133533333Y-84714285D01*
X133533333Y-84790476D01*
X133495238Y-84904761D01*
X133038095Y-85361904D01*
X133533333Y-85361904D01*
G04 #@! TO.C,J3*
X141733333Y-68561904D02*
X141733333Y-69133333D01*
X141695238Y-69247619D01*
X141619047Y-69323809D01*
X141504761Y-69361904D01*
X141428571Y-69361904D01*
X142038095Y-68561904D02*
X142533333Y-68561904D01*
X142266666Y-68866666D01*
X142380952Y-68866666D01*
X142457142Y-68904761D01*
X142495238Y-68942857D01*
X142533333Y-69019047D01*
X142533333Y-69209523D01*
X142495238Y-69285714D01*
X142457142Y-69323809D01*
X142380952Y-69361904D01*
X142152380Y-69361904D01*
X142076190Y-69323809D01*
X142038095Y-69285714D01*
G04 #@! TD*
M02*

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,56 @@
M48
;DRILL file {KiCad 5.0.2-bee76a0~70~ubuntu18.04.1} date Sat 27 Jun 2020 14:22:54 BST
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
T1C0.0118
T2C0.0394
T3C0.0512
%
G90
G05
T1
X5.0295Y-3.3563
X5.0394Y-2.687
X5.0925Y-2.8071
X5.0925Y-2.9075
X5.0945Y-3.0079
X5.1969Y-3.0059
X5.2244Y-2.8346
X5.2854Y-2.8051
X5.5709Y-3.3169
X5.5807Y-2.815
X5.5906Y-3.1102
X5.5906Y-3.1693
X5.6004Y-2.874
X5.6004Y-2.9331
X5.6004Y-2.9921
X5.6004Y-3.0512
X5.7087Y-2.874
X5.7087Y-2.9331
X5.7087Y-2.9921
X5.7087Y-3.0512
X5.7185Y-3.1693
X5.9449Y-3.0512
T2
X5.075Y-2.7559
X5.075Y-2.8559
X5.075Y-2.9559
X5.075Y-3.0559
X5.075Y-3.1559
X5.075Y-3.2559
X5.175Y-2.7559
X5.175Y-2.8559
X5.175Y-2.9559
X5.175Y-3.0559
X5.175Y-3.1559
X5.175Y-3.2559
X5.3724Y-3.2677
X5.4724Y-3.2677
T3
X5.689Y-2.7362
X5.689Y-3.3071
X5.8819Y-2.7126
X5.8819Y-3.3307
T0
M30

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,149 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x06_Odd_Even
#
DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -350 1 1 10 f
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 300 -300 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_HDMI_A
#
DEF Connector_HDMI_A J 0 20 Y Y 1 F N
F0 "J" -250 1050 50 H V C CNN
F1 "Connector_HDMI_A" 400 1050 50 H V C CNN
F2 "" 25 0 50 H I C CNN
F3 "" 25 0 50 H I C CNN
$FPLIST
HDMI*A*
$ENDFPLIST
DRAW
S -300 1000 400 -1000 0 1 10 f
P 2 0 0 25 320 650 320 710 N
P 6 0 0 25 0 650 0 710 0 680 75 680 75 710 75 650 N
P 7 0 0 25 105 710 170 710 180 700 180 660 170 650 105 650 105 680 N
P 7 0 1 0 100 350 150 350 200 250 200 -225 150 -325 100 -325 100 350 F
P 8 0 1 25 210 650 210 710 250 710 250 650 250 710 280 710 290 700 290 650 N
P 9 0 1 25 0 500 0 -500 150 -500 200 -400 300 -350 300 350 200 400 150 500 0 500 N
X D2+ 1 -400 800 100 R 50 50 1 1 P
X CK+ 10 -400 200 100 R 50 50 1 1 P
X CKS 11 100 -1100 100 U 50 50 1 1 W
X CK- 12 -400 100 100 R 50 50 1 1 P
X CEC 13 -400 -100 100 R 50 50 1 1 B
X UTILITY 14 -400 -600 100 R 50 50 1 1 P
X SCL 15 -400 -300 100 R 50 50 1 1 P
X SDA 16 -400 -400 100 R 50 50 1 1 B
X GND 17 200 -1100 100 U 50 50 1 1 W
X +5V 18 0 1100 100 D 50 50 1 1 W
X HPD 19 -400 -700 100 R 50 50 1 1 P
X D2S 2 -200 -1100 100 U 50 50 1 1 W
X D2- 3 -400 700 100 R 50 50 1 1 P
X D1+ 4 -400 600 100 R 50 50 1 1 P
X D1S 5 -100 -1100 100 U 50 50 1 1 W
X D1- 6 -400 500 100 R 50 50 1 1 P
X D0+ 7 -400 400 100 R 50 50 1 1 P
X D0S 8 0 -1100 100 U 50 50 1 1 W
X D0- 9 -400 300 100 R 50 50 1 1 P
X SH SH 300 -1100 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Wyświetl plik

@ -0,0 +1,420 @@
EESchema Schematic File Version 4
EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Connector:HDMI_A J3
U 1 1 5F61A1A0
P 7750 3850
F 0 "J3" H 8179 3896 50 0000 L CNN
F 1 "HDMI_A" H 8179 3805 50 0000 L CNN
F 2 "picodvi:HDMI-SS-53000" H 7775 3850 50 0001 C CNN
F 3 "https://en.wikipedia.org/wiki/HDMI" H 7775 3850 50 0001 C CNN
1 7750 3850
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J1
U 1 1 5F61A52F
P 4500 3400
F 0 "J1" H 4550 2875 50 0000 C CNN
F 1 "02x06" H 4550 2966 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4500 3400 50 0001 C CNN
F 3 "~" H 4500 3400 50 0001 C CNN
1 4500 3400
-1 0 0 1
$EndComp
Wire Wire Line
4200 3100 4100 3100
Wire Wire Line
4100 3100 4100 2850
$Comp
L power:+3V3 #PWR0101
U 1 1 5F61A628
P 4100 2850
F 0 "#PWR0101" H 4100 2700 50 0001 C CNN
F 1 "+3V3" H 4115 3023 50 0000 C CNN
F 2 "" H 4100 2850 50 0001 C CNN
F 3 "" H 4100 2850 50 0001 C CNN
1 4100 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0102
U 1 1 5F61A63E
P 4800 2850
F 0 "#PWR0102" H 4800 2700 50 0001 C CNN
F 1 "+3V3" H 4815 3023 50 0000 C CNN
F 2 "" H 4800 2850 50 0001 C CNN
F 3 "" H 4800 2850 50 0001 C CNN
1 4800 2850
1 0 0 -1
$EndComp
Wire Wire Line
4800 2850 4800 3100
Wire Wire Line
4800 3100 4700 3100
Wire Wire Line
4200 3200 4100 3200
Wire Wire Line
4100 3200 4100 3800
$Comp
L power:GND #PWR0103
U 1 1 5F61A6C5
P 4100 3800
F 0 "#PWR0103" H 4100 3550 50 0001 C CNN
F 1 "GND" H 4105 3627 50 0000 C CNN
F 2 "" H 4100 3800 50 0001 C CNN
F 3 "" H 4100 3800 50 0001 C CNN
1 4100 3800
1 0 0 -1
$EndComp
Wire Wire Line
4700 3200 4800 3200
Wire Wire Line
4800 3200 4800 3800
$Comp
L power:GND #PWR0104
U 1 1 5F61A75B
P 4800 3800
F 0 "#PWR0104" H 4800 3550 50 0001 C CNN
F 1 "GND" H 4805 3627 50 0000 C CNN
F 2 "" H 4800 3800 50 0001 C CNN
F 3 "" H 4800 3800 50 0001 C CNN
1 4800 3800
1 0 0 -1
$EndComp
Wire Wire Line
4700 3300 4850 3300
Wire Wire Line
4700 3400 4850 3400
Wire Wire Line
4700 3500 4850 3500
Wire Wire Line
4700 3600 4850 3600
Wire Wire Line
4200 3300 4050 3300
Wire Wire Line
4200 3400 4050 3400
Wire Wire Line
4200 3500 4050 3500
Wire Wire Line
4200 3600 4050 3600
Text Label 7200 3050 2 50 ~ 0
D2+
Text Label 7200 3150 2 50 ~ 0
D2-
Text Label 7200 3250 2 50 ~ 0
D1+
Text Label 7200 3350 2 50 ~ 0
D1-
Text Label 7200 3450 2 50 ~ 0
D0+
Text Label 7200 3550 2 50 ~ 0
D0-
Text Label 7200 3650 2 50 ~ 0
CK+
Text Label 7200 3750 2 50 ~ 0
CK-
$Comp
L Device:C C1
U 1 1 5F61B0C6
P 6500 3050
F 0 "C1" V 6450 2900 50 0000 C CNN
F 1 "220n" V 6550 2900 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 2900 50 0001 C CNN
F 3 "~" H 6500 3050 50 0001 C CNN
1 6500 3050
0 1 1 0
$EndComp
$Comp
L Device:C C5
U 1 1 5F61B10D
P 6750 3150
F 0 "C5" V 6700 3300 50 0000 C CNN
F 1 "220n" V 6800 3300 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3000 50 0001 C CNN
F 3 "~" H 6750 3150 50 0001 C CNN
1 6750 3150
0 1 1 0
$EndComp
Wire Wire Line
6200 3150 6600 3150
Wire Wire Line
6350 3050 6200 3050
$Comp
L Device:C C2
U 1 1 5F61D3AA
P 6500 3250
F 0 "C2" V 6450 3100 50 0000 C CNN
F 1 "220n" V 6550 3100 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 3100 50 0001 C CNN
F 3 "~" H 6500 3250 50 0001 C CNN
1 6500 3250
0 1 1 0
$EndComp
$Comp
L Device:C C6
U 1 1 5F61D3B1
P 6750 3350
F 0 "C6" V 6700 3500 50 0000 C CNN
F 1 "220n" V 6800 3500 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3200 50 0001 C CNN
F 3 "~" H 6750 3350 50 0001 C CNN
1 6750 3350
0 1 1 0
$EndComp
Wire Wire Line
6200 3350 6600 3350
Wire Wire Line
6350 3250 6200 3250
$Comp
L Device:C C3
U 1 1 5F61D9A4
P 6500 3450
F 0 "C3" V 6450 3300 50 0000 C CNN
F 1 "220n" V 6550 3300 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 3300 50 0001 C CNN
F 3 "~" H 6500 3450 50 0001 C CNN
1 6500 3450
0 1 1 0
$EndComp
$Comp
L Device:C C7
U 1 1 5F61D9AB
P 6750 3550
F 0 "C7" V 6700 3700 50 0000 C CNN
F 1 "220n" V 6800 3700 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3400 50 0001 C CNN
F 3 "~" H 6750 3550 50 0001 C CNN
1 6750 3550
0 1 1 0
$EndComp
Wire Wire Line
6200 3550 6600 3550
Wire Wire Line
6350 3450 6200 3450
$Comp
L Device:C C4
U 1 1 5F61E195
P 6500 3650
F 0 "C4" V 6450 3500 50 0000 C CNN
F 1 "220n" V 6550 3500 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 3500 50 0001 C CNN
F 3 "~" H 6500 3650 50 0001 C CNN
1 6500 3650
0 1 1 0
$EndComp
$Comp
L Device:C C8
U 1 1 5F61E19C
P 6750 3750
F 0 "C8" V 6700 3900 50 0000 C CNN
F 1 "220n" V 6800 3900 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3600 50 0001 C CNN
F 3 "~" H 6750 3750 50 0001 C CNN
1 6750 3750
0 1 1 0
$EndComp
Wire Wire Line
6200 3750 6600 3750
Wire Wire Line
6350 3650 6200 3650
Wire Wire Line
6900 3150 7350 3150
Wire Wire Line
6900 3350 7350 3350
Wire Wire Line
6900 3550 7350 3550
Wire Wire Line
6900 3750 7350 3750
Wire Wire Line
6650 3650 7350 3650
Wire Wire Line
6650 3450 7350 3450
Wire Wire Line
6650 3250 7350 3250
Wire Wire Line
6650 3050 7350 3050
Text Label 6200 3050 2 50 ~ 0
PMOD_D2+
Text Label 6200 3150 2 50 ~ 0
PMOD_D2-
Text Label 6200 3250 2 50 ~ 0
PMOD_D1+
Text Label 6200 3350 2 50 ~ 0
PMOD_D1-
Text Label 6200 3450 2 50 ~ 0
PMOD_D0+
Text Label 6200 3550 2 50 ~ 0
PMOD_D0-
Text Label 6200 3650 2 50 ~ 0
PMOD_CK+
Text Label 6200 3750 2 50 ~ 0
PMOD_CK-
NoConn ~ 7350 3950
NoConn ~ 7350 4150
NoConn ~ 7350 4250
NoConn ~ 7350 4450
NoConn ~ 7350 4550
Wire Wire Line
7550 4950 7550 5050
Wire Wire Line
7550 5050 7650 5050
Wire Wire Line
8050 5050 8050 4950
Wire Wire Line
7650 4950 7650 5050
Connection ~ 7650 5050
Wire Wire Line
7650 5050 7750 5050
Wire Wire Line
7750 4950 7750 5050
Connection ~ 7750 5050
Wire Wire Line
7750 5050 7850 5050
Wire Wire Line
7850 4950 7850 5050
Connection ~ 7850 5050
Wire Wire Line
7850 5050 7950 5050
Wire Wire Line
7950 4950 7950 5050
Connection ~ 7950 5050
Wire Wire Line
7950 5050 8050 5050
Wire Wire Line
8050 5050 8050 5150
Connection ~ 8050 5050
$Comp
L power:GND #PWR0105
U 1 1 5F6255C0
P 8050 5150
F 0 "#PWR0105" H 8050 4900 50 0001 C CNN
F 1 "GND" H 8055 4977 50 0000 C CNN
F 2 "" H 8050 5150 50 0001 C CNN
F 3 "" H 8050 5150 50 0001 C CNN
1 8050 5150
1 0 0 -1
$EndComp
Wire Wire Line
7750 2750 7750 2650
$Comp
L power:+5V #PWR0106
U 1 1 5F625E53
P 7750 2650
F 0 "#PWR0106" H 7750 2500 50 0001 C CNN
F 1 "+5V" H 7765 2823 50 0000 C CNN
F 2 "" H 7750 2650 50 0001 C CNN
F 3 "" H 7750 2650 50 0001 C CNN
1 7750 2650
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_01x02 J2
U 1 1 5F626B92
P 4500 4500
F 0 "J2" H 4580 4492 50 0000 L CNN
F 1 "Conn_01x02" H 4580 4401 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 4500 4500 50 0001 C CNN
F 3 "~" H 4500 4500 50 0001 C CNN
1 4500 4500
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR0107
U 1 1 5F626C45
P 4200 4300
F 0 "#PWR0107" H 4200 4150 50 0001 C CNN
F 1 "+5V" H 4215 4473 50 0000 C CNN
F 2 "" H 4200 4300 50 0001 C CNN
F 3 "" H 4200 4300 50 0001 C CNN
1 4200 4300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0108
U 1 1 5F626CE8
P 4200 4800
F 0 "#PWR0108" H 4200 4550 50 0001 C CNN
F 1 "GND" H 4205 4627 50 0000 C CNN
F 2 "" H 4200 4800 50 0001 C CNN
F 3 "" H 4200 4800 50 0001 C CNN
1 4200 4800
1 0 0 -1
$EndComp
Wire Wire Line
4200 4500 4300 4500
Wire Wire Line
4300 4600 4200 4600
Text Notes 4200 2400 0 50 ~ 0
Note layout on PicoDVI board:\n\nGPIO7 GPIO3\nGPIO6 GPIO2\nGPIO5 GPIO1\nGPIO4 GPIO0\n\nWe need diffpairs to be on adjacent GPIOs
Text Label 4050 3400 2 50 ~ 0
PMOD_CK+
Text Label 4050 3300 2 50 ~ 0
PMOD_CK-
Text Label 4850 3600 0 50 ~ 0
PMOD_D2+
Text Label 4850 3500 0 50 ~ 0
PMOD_D2-
Text Label 4850 3400 0 50 ~ 0
PMOD_D0+
Text Label 4850 3300 0 50 ~ 0
PMOD_D0-
Text Label 4050 3600 2 50 ~ 0
PMOD_D1+
Text Label 4050 3500 2 50 ~ 0
PMOD_D1-
$Comp
L Device:C C9
U 1 1 5F630168
P 3950 4550
F 0 "C9" H 4100 4450 50 0000 C CNN
F 1 "220n" H 4100 4650 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 3988 4400 50 0001 C CNN
F 3 "~" H 3950 4550 50 0001 C CNN
1 3950 4550
-1 0 0 1
$EndComp
$Comp
L power:+5V #PWR01
U 1 1 5F630236
P 3950 4300
F 0 "#PWR01" H 3950 4150 50 0001 C CNN
F 1 "+5V" H 3965 4473 50 0000 C CNN
F 2 "" H 3950 4300 50 0001 C CNN
F 3 "" H 3950 4300 50 0001 C CNN
1 3950 4300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR02
U 1 1 5F630259
P 3950 4800
F 0 "#PWR02" H 3950 4550 50 0001 C CNN
F 1 "GND" H 3955 4627 50 0000 C CNN
F 2 "" H 3950 4800 50 0001 C CNN
F 3 "" H 3950 4800 50 0001 C CNN
1 3950 4800
1 0 0 -1
$EndComp
Wire Wire Line
3950 4400 3950 4300
Wire Wire Line
3950 4700 3950 4800
Wire Wire Line
4200 4300 4200 4500
Wire Wire Line
4200 4600 4200 4800
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,258 @@
(export (version D)
(design
(source /home/luke/proj/picodvi/pmod_board/picodvi_pmod.sch)
(date "Sat 27 Jun 2020 13:58:19 BST")
(tool "Eeschema 5.0.2-bee76a0~70~ubuntu18.04.1")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title)
(company)
(rev)
(date)
(source picodvi_pmod.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref J3)
(value HDMI_A)
(footprint picodvi:HDMI-SS-53000)
(datasheet https://en.wikipedia.org/wiki/HDMI)
(libsource (lib Connector) (part HDMI_A) (description "HDMI type A connector"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61A1A0))
(comp (ref J1)
(value 02x06)
(footprint Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_02x06_Odd_Even) (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61A52F))
(comp (ref C1)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61B0C6))
(comp (ref C5)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61B10D))
(comp (ref C2)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61D3AA))
(comp (ref C6)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61D3B1))
(comp (ref C3)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61D9A4))
(comp (ref C7)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61D9AB))
(comp (ref C4)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61E195))
(comp (ref C8)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F61E19C))
(comp (ref J2)
(value Conn_01x02)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F626B92))
(comp (ref C9)
(value 220n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F630168)))
(libparts
(libpart (lib Connector) (part HDMI_A)
(description "HDMI type A connector")
(docs https://en.wikipedia.org/wiki/HDMI)
(footprints
(fp HDMI*A*))
(fields
(field (name Reference) J)
(field (name Value) HDMI_A))
(pins
(pin (num 1) (name D2+) (type passive))
(pin (num 2) (name D2S) (type power_in))
(pin (num 3) (name D2-) (type passive))
(pin (num 4) (name D1+) (type passive))
(pin (num 5) (name D1S) (type power_in))
(pin (num 6) (name D1-) (type passive))
(pin (num 7) (name D0+) (type passive))
(pin (num 8) (name D0S) (type power_in))
(pin (num 9) (name D0-) (type passive))
(pin (num 10) (name CK+) (type passive))
(pin (num 11) (name CKS) (type power_in))
(pin (num 12) (name CK-) (type passive))
(pin (num 13) (name CEC) (type BiDi))
(pin (num 14) (name UTILITY) (type passive))
(pin (num 15) (name SCL) (type passive))
(pin (num 16) (name SDA) (type BiDi))
(pin (num 17) (name GND) (type power_in))
(pin (num 18) (name +5V) (type power_in))
(pin (num 19) (name HPD) (type passive))
(pin (num SH) (name SH) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x02)
(description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_1x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_01x02))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))))
(libpart (lib Connector_Generic) (part Conn_02x06_Odd_Even)
(description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_2x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_02x06_Odd_Even))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))
(pin (num 5) (name Pin_5) (type passive))
(pin (num 6) (name Pin_6) (type passive))
(pin (num 7) (name Pin_7) (type passive))
(pin (num 8) (name Pin_8) (type passive))
(pin (num 9) (name Pin_9) (type passive))
(pin (num 10) (name Pin_10) (type passive))
(pin (num 11) (name Pin_11) (type passive))
(pin (num 12) (name Pin_12) (type passive))))
(libpart (lib Device) (part C)
(description "Unpolarized capacitor")
(docs ~)
(footprints
(fp C_*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive)))))
(libraries
(library (logical Connector)
(uri /usr/share/kicad/library/Connector.lib))
(library (logical Connector_Generic)
(uri /usr/share/kicad/library/Connector_Generic.lib))
(library (logical Device)
(uri /usr/share/kicad/library/Device.lib)))
(nets
(net (code 1) (name "Net-(J3-Pad19)")
(node (ref J3) (pin 19)))
(net (code 2) (name /PMOD_D0+)
(node (ref J1) (pin 5))
(node (ref C3) (pin 2)))
(net (code 3) (name "Net-(J3-Pad13)")
(node (ref J3) (pin 13)))
(net (code 4) (name "Net-(J3-Pad15)")
(node (ref J3) (pin 15)))
(net (code 5) (name "Net-(J3-Pad16)")
(node (ref J3) (pin 16)))
(net (code 6) (name "Net-(J3-Pad14)")
(node (ref J3) (pin 14)))
(net (code 7) (name /PMOD_D0-)
(node (ref C7) (pin 2))
(node (ref J1) (pin 7)))
(net (code 8) (name /PMOD_CK+)
(node (ref C4) (pin 2))
(node (ref J1) (pin 6)))
(net (code 9) (name /PMOD_CK-)
(node (ref J1) (pin 8))
(node (ref C8) (pin 2)))
(net (code 10) (name /PMOD_D2-)
(node (ref C5) (pin 2))
(node (ref J1) (pin 3)))
(net (code 11) (name +5V)
(node (ref J2) (pin 1))
(node (ref J3) (pin 18))
(node (ref C9) (pin 2)))
(net (code 12) (name GND)
(node (ref J1) (pin 10))
(node (ref J3) (pin SH))
(node (ref J1) (pin 9))
(node (ref J3) (pin 11))
(node (ref J3) (pin 17))
(node (ref J3) (pin 2))
(node (ref J3) (pin 5))
(node (ref J3) (pin 8))
(node (ref C9) (pin 1))
(node (ref J2) (pin 2)))
(net (code 13) (name +3V3)
(node (ref J1) (pin 11))
(node (ref J1) (pin 12)))
(net (code 14) (name /D1-)
(node (ref C6) (pin 1))
(node (ref J3) (pin 6)))
(net (code 15) (name /D0+)
(node (ref J3) (pin 7))
(node (ref C3) (pin 1)))
(net (code 16) (name /D0-)
(node (ref J3) (pin 9))
(node (ref C7) (pin 1)))
(net (code 17) (name /CK+)
(node (ref J3) (pin 10))
(node (ref C4) (pin 1)))
(net (code 18) (name /CK-)
(node (ref C8) (pin 1))
(node (ref J3) (pin 12)))
(net (code 19) (name /PMOD_D2+)
(node (ref C1) (pin 2))
(node (ref J1) (pin 1)))
(net (code 20) (name /D1+)
(node (ref J3) (pin 4))
(node (ref C2) (pin 1)))
(net (code 21) (name /PMOD_D1+)
(node (ref C2) (pin 2))
(node (ref J1) (pin 2)))
(net (code 22) (name /PMOD_D1-)
(node (ref C6) (pin 2))
(node (ref J1) (pin 4)))
(net (code 23) (name /D2+)
(node (ref J3) (pin 1))
(node (ref C1) (pin 1)))
(net (code 24) (name /D2-)
(node (ref C5) (pin 1))
(node (ref J3) (pin 3)))))

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,33 @@
update=22/05/2015 07:44:53
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]

Wyświetl plik

@ -0,0 +1,392 @@
EESchema Schematic File Version 4
EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Connector:HDMI_A J3
U 1 1 5F61A1A0
P 7750 3850
F 0 "J3" H 8179 3896 50 0000 L CNN
F 1 "HDMI_A" H 8179 3805 50 0000 L CNN
F 2 "picodvi:HDMI-SS-53000" H 7775 3850 50 0001 C CNN
F 3 "https://en.wikipedia.org/wiki/HDMI" H 7775 3850 50 0001 C CNN
1 7750 3850
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J1
U 1 1 5F61A52F
P 4500 3400
F 0 "J1" H 4550 2875 50 0000 C CNN
F 1 "02x06" H 4550 2966 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4500 3400 50 0001 C CNN
F 3 "~" H 4500 3400 50 0001 C CNN
1 4500 3400
-1 0 0 1
$EndComp
Wire Wire Line
4200 3200 4100 3200
Wire Wire Line
4100 3200 4100 3800
$Comp
L power:GND #PWR0103
U 1 1 5F61A6C5
P 4100 3800
F 0 "#PWR0103" H 4100 3550 50 0001 C CNN
F 1 "GND" H 4105 3627 50 0000 C CNN
F 2 "" H 4100 3800 50 0001 C CNN
F 3 "" H 4100 3800 50 0001 C CNN
1 4100 3800
1 0 0 -1
$EndComp
Wire Wire Line
4700 3200 4800 3200
Wire Wire Line
4800 3200 4800 3800
$Comp
L power:GND #PWR0104
U 1 1 5F61A75B
P 4800 3800
F 0 "#PWR0104" H 4800 3550 50 0001 C CNN
F 1 "GND" H 4805 3627 50 0000 C CNN
F 2 "" H 4800 3800 50 0001 C CNN
F 3 "" H 4800 3800 50 0001 C CNN
1 4800 3800
1 0 0 -1
$EndComp
Wire Wire Line
4700 3300 4850 3300
Wire Wire Line
4700 3400 4850 3400
Wire Wire Line
4700 3500 4850 3500
Wire Wire Line
4700 3600 4850 3600
Wire Wire Line
4200 3300 4050 3300
Wire Wire Line
4200 3400 4050 3400
Wire Wire Line
4200 3500 4050 3500
Wire Wire Line
4200 3600 4050 3600
Text Label 7200 3050 2 50 ~ 0
D2+
Text Label 7200 3150 2 50 ~ 0
D2-
Text Label 7200 3250 2 50 ~ 0
D1+
Text Label 7200 3350 2 50 ~ 0
D1-
Text Label 7200 3450 2 50 ~ 0
D0+
Text Label 7200 3550 2 50 ~ 0
D0-
Text Label 7200 3650 2 50 ~ 0
CK+
Text Label 7200 3750 2 50 ~ 0
CK-
$Comp
L Device:C C1
U 1 1 5F61B0C6
P 6500 3050
F 0 "C1" V 6450 2900 50 0000 C CNN
F 1 "220n" V 6550 2900 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 2900 50 0001 C CNN
F 3 "~" H 6500 3050 50 0001 C CNN
1 6500 3050
0 1 1 0
$EndComp
$Comp
L Device:C C5
U 1 1 5F61B10D
P 6750 3150
F 0 "C5" V 6700 3300 50 0000 C CNN
F 1 "220n" V 6800 3300 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3000 50 0001 C CNN
F 3 "~" H 6750 3150 50 0001 C CNN
1 6750 3150
0 1 1 0
$EndComp
Wire Wire Line
6200 3150 6600 3150
Wire Wire Line
6350 3050 6200 3050
$Comp
L Device:C C2
U 1 1 5F61D3AA
P 6500 3250
F 0 "C2" V 6450 3100 50 0000 C CNN
F 1 "220n" V 6550 3100 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 3100 50 0001 C CNN
F 3 "~" H 6500 3250 50 0001 C CNN
1 6500 3250
0 1 1 0
$EndComp
$Comp
L Device:C C6
U 1 1 5F61D3B1
P 6750 3350
F 0 "C6" V 6700 3500 50 0000 C CNN
F 1 "220n" V 6800 3500 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3200 50 0001 C CNN
F 3 "~" H 6750 3350 50 0001 C CNN
1 6750 3350
0 1 1 0
$EndComp
Wire Wire Line
6200 3350 6600 3350
Wire Wire Line
6350 3250 6200 3250
$Comp
L Device:C C3
U 1 1 5F61D9A4
P 6500 3450
F 0 "C3" V 6450 3300 50 0000 C CNN
F 1 "220n" V 6550 3300 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 3300 50 0001 C CNN
F 3 "~" H 6500 3450 50 0001 C CNN
1 6500 3450
0 1 1 0
$EndComp
$Comp
L Device:C C7
U 1 1 5F61D9AB
P 6750 3550
F 0 "C7" V 6700 3700 50 0000 C CNN
F 1 "220n" V 6800 3700 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3400 50 0001 C CNN
F 3 "~" H 6750 3550 50 0001 C CNN
1 6750 3550
0 1 1 0
$EndComp
Wire Wire Line
6200 3550 6600 3550
Wire Wire Line
6350 3450 6200 3450
$Comp
L Device:C C4
U 1 1 5F61E195
P 6500 3650
F 0 "C4" V 6450 3500 50 0000 C CNN
F 1 "220n" V 6550 3500 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6538 3500 50 0001 C CNN
F 3 "~" H 6500 3650 50 0001 C CNN
1 6500 3650
0 1 1 0
$EndComp
$Comp
L Device:C C8
U 1 1 5F61E19C
P 6750 3750
F 0 "C8" V 6700 3900 50 0000 C CNN
F 1 "220n" V 6800 3900 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 3600 50 0001 C CNN
F 3 "~" H 6750 3750 50 0001 C CNN
1 6750 3750
0 1 1 0
$EndComp
Wire Wire Line
6200 3750 6600 3750
Wire Wire Line
6350 3650 6200 3650
Wire Wire Line
6900 3150 7350 3150
Wire Wire Line
6900 3350 7350 3350
Wire Wire Line
6900 3550 7350 3550
Wire Wire Line
6900 3750 7350 3750
Wire Wire Line
6650 3650 7350 3650
Wire Wire Line
6650 3450 7350 3450
Wire Wire Line
6650 3250 7350 3250
Wire Wire Line
6650 3050 7350 3050
Text Label 6200 3050 2 50 ~ 0
PMOD_D2+
Text Label 6200 3150 2 50 ~ 0
PMOD_D2-
Text Label 6200 3250 2 50 ~ 0
PMOD_D1+
Text Label 6200 3350 2 50 ~ 0
PMOD_D1-
Text Label 6200 3450 2 50 ~ 0
PMOD_D0+
Text Label 6200 3550 2 50 ~ 0
PMOD_D0-
Text Label 6200 3650 2 50 ~ 0
PMOD_CK+
Text Label 6200 3750 2 50 ~ 0
PMOD_CK-
NoConn ~ 7350 3950
NoConn ~ 7350 4150
NoConn ~ 7350 4250
NoConn ~ 7350 4450
NoConn ~ 7350 4550
Wire Wire Line
7550 4950 7550 5050
Wire Wire Line
7550 5050 7650 5050
Wire Wire Line
8050 5050 8050 4950
Wire Wire Line
7650 4950 7650 5050
Connection ~ 7650 5050
Wire Wire Line
7650 5050 7750 5050
Wire Wire Line
7750 4950 7750 5050
Connection ~ 7750 5050
Wire Wire Line
7750 5050 7850 5050
Wire Wire Line
7850 4950 7850 5050
Connection ~ 7850 5050
Wire Wire Line
7850 5050 7950 5050
Wire Wire Line
7950 4950 7950 5050
Connection ~ 7950 5050
Wire Wire Line
7950 5050 8050 5050
Wire Wire Line
8050 5050 8050 5150
Connection ~ 8050 5050
$Comp
L power:GND #PWR0105
U 1 1 5F6255C0
P 8050 5150
F 0 "#PWR0105" H 8050 4900 50 0001 C CNN
F 1 "GND" H 8055 4977 50 0000 C CNN
F 2 "" H 8050 5150 50 0001 C CNN
F 3 "" H 8050 5150 50 0001 C CNN
1 8050 5150
1 0 0 -1
$EndComp
Wire Wire Line
7750 2750 7750 2650
$Comp
L power:+5V #PWR0106
U 1 1 5F625E53
P 7750 2650
F 0 "#PWR0106" H 7750 2500 50 0001 C CNN
F 1 "+5V" H 7765 2823 50 0000 C CNN
F 2 "" H 7750 2650 50 0001 C CNN
F 3 "" H 7750 2650 50 0001 C CNN
1 7750 2650
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_01x02 J2
U 1 1 5F626B92
P 4500 4500
F 0 "J2" H 4580 4492 50 0000 L CNN
F 1 "Conn_01x02" H 4580 4401 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 4500 4500 50 0001 C CNN
F 3 "~" H 4500 4500 50 0001 C CNN
1 4500 4500
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR0107
U 1 1 5F626C45
P 4200 4300
F 0 "#PWR0107" H 4200 4150 50 0001 C CNN
F 1 "+5V" H 4215 4473 50 0000 C CNN
F 2 "" H 4200 4300 50 0001 C CNN
F 3 "" H 4200 4300 50 0001 C CNN
1 4200 4300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0108
U 1 1 5F626CE8
P 4200 4800
F 0 "#PWR0108" H 4200 4550 50 0001 C CNN
F 1 "GND" H 4205 4627 50 0000 C CNN
F 2 "" H 4200 4800 50 0001 C CNN
F 3 "" H 4200 4800 50 0001 C CNN
1 4200 4800
1 0 0 -1
$EndComp
Wire Wire Line
4200 4500 4300 4500
Wire Wire Line
4300 4600 4200 4600
Text Notes 4200 2400 0 50 ~ 0
Note layout on PicoDVI board:\n\nGPIO7 GPIO3\nGPIO6 GPIO2\nGPIO5 GPIO1\nGPIO4 GPIO0\n\nWe need diffpairs to be on adjacent GPIOs
Text Label 4050 3400 2 50 ~ 0
PMOD_CK+
Text Label 4050 3300 2 50 ~ 0
PMOD_CK-
Text Label 4850 3600 0 50 ~ 0
PMOD_D2+
Text Label 4850 3500 0 50 ~ 0
PMOD_D2-
Text Label 4850 3400 0 50 ~ 0
PMOD_D0+
Text Label 4850 3300 0 50 ~ 0
PMOD_D0-
Text Label 4050 3600 2 50 ~ 0
PMOD_D1+
Text Label 4050 3500 2 50 ~ 0
PMOD_D1-
$Comp
L Device:C C9
U 1 1 5F630168
P 3950 4550
F 0 "C9" H 4100 4450 50 0000 C CNN
F 1 "220n" H 4100 4650 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 3988 4400 50 0001 C CNN
F 3 "~" H 3950 4550 50 0001 C CNN
1 3950 4550
-1 0 0 1
$EndComp
$Comp
L power:+5V #PWR01
U 1 1 5F630236
P 3950 4300
F 0 "#PWR01" H 3950 4150 50 0001 C CNN
F 1 "+5V" H 3965 4473 50 0000 C CNN
F 2 "" H 3950 4300 50 0001 C CNN
F 3 "" H 3950 4300 50 0001 C CNN
1 3950 4300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR02
U 1 1 5F630259
P 3950 4800
F 0 "#PWR02" H 3950 4550 50 0001 C CNN
F 1 "GND" H 3955 4627 50 0000 C CNN
F 2 "" H 3950 4800 50 0001 C CNN
F 3 "" H 3950 4800 50 0001 C CNN
1 3950 4800
1 0 0 -1
$EndComp
Wire Wire Line
3950 4400 3950 4300
Wire Wire Line
3950 4700 3950 4800
Wire Wire Line
4200 4300 4200 4500
Wire Wire Line
4200 4600 4200 4800
NoConn ~ 4700 3100
NoConn ~ 4200 3100
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name picodvi)(type Legacy)(uri ${KIPRJMOD}/../board/picodvi.lib)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name picodvi)(type KiCad)(uri ${KIPRJMOD}/../board/picodvi.pretty)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,138 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_02x06_Odd_Even
#
DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -350 1 1 10 f
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 300 -300 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R
#
DEF Device_R R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "Device_R" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# picodvi_S70KS128
#
DEF picodvi_S70KS128 U 0 40 Y Y 1 F N
F0 "U" 0 850 50 H V C CNN
F1 "picodvi_S70KS128" 0 -850 50 H V C CNN
F2 "" -50 1350 50 H I C CNN
F3 "" -50 1350 50 H I C CNN
DRAW
S -250 800 250 -800 0 1 0 f
X ~CS~ A3 350 -400 100 L 50 50 1 1 I
X ~RST~ A4 350 -700 100 L 50 50 1 1 I
X ~CK~ B1 350 -200 100 L 50 50 1 1 I
X CK B2 350 -300 100 L 50 50 1 1 I
X VSS B3 -350 100 100 R 50 50 1 1 P
X VCC B4 -350 200 100 R 50 50 1 1 W
X VSSQ C1 -350 500 100 R 50 50 1 1 P
X RWDS C3 350 -500 100 L 50 50 1 1 B
X DQ2 C4 350 500 100 L 50 50 1 1 B
X VCCQ D1 -350 700 100 R 50 50 1 1 W
X DQ1 D2 350 600 100 L 50 50 1 1 B
X DQ0 D3 350 700 100 L 50 50 1 1 B
X DQ3 D4 350 400 100 L 50 50 1 1 B
X DQ4 D5 350 300 100 L 50 50 1 1 B
X DQ7 E1 350 0 100 L 50 50 1 1 B
X DQ6 E2 350 100 100 L 50 50 1 1 B
X DQ5 E3 350 200 100 L 50 50 1 1 B
X VCCQ E4 -350 600 100 R 50 50 1 1 W
X VSSQ E5 -350 400 100 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Wyświetl plik

@ -0,0 +1,467 @@
EESchema Schematic File Version 4
EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L picodvi:S70KS128 U1
U 1 1 5F3DDDDC
P 6850 3550
F 0 "U1" H 6850 4515 50 0000 C CNN
F 1 "S70KS128" H 6850 4424 50 0000 C CNN
F 2 "picodvi:S70KS128" H 6800 4900 50 0001 C CNN
F 3 "" H 6800 4900 50 0001 C CNN
1 6850 3550
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J2
U 1 1 5F3DDEB5
P 4000 4900
F 0 "J2" H 4050 4375 50 0000 C CNN
F 1 "2.54mm_2x6" H 4050 4466 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4000 4900 50 0001 C CNN
F 3 "~" H 4000 4900 50 0001 C CNN
1 4000 4900
-1 0 0 1
$EndComp
Wire Wire Line
7200 2850 7300 2850
Wire Wire Line
7200 2950 7300 2950
Wire Wire Line
7200 3050 7300 3050
Wire Wire Line
7200 3150 7300 3150
Wire Wire Line
7200 3250 7300 3250
Wire Wire Line
7200 3350 7300 3350
Wire Wire Line
7200 3450 7300 3450
Wire Wire Line
7200 3550 7300 3550
Text Label 7300 2850 0 50 ~ 0
DQ0
Text Label 7300 2950 0 50 ~ 0
DQ1
Text Label 7300 3050 0 50 ~ 0
DQ2
Text Label 7300 3150 0 50 ~ 0
DQ3
Text Label 7300 3250 0 50 ~ 0
DQ4
Text Label 7300 3350 0 50 ~ 0
DQ5
Text Label 7300 3450 0 50 ~ 0
DQ6
Text Label 7300 3550 0 50 ~ 0
DQ7
Wire Wire Line
4200 4800 4300 4800
Wire Wire Line
4200 4900 4300 4900
Wire Wire Line
4200 5000 4300 5000
Wire Wire Line
4200 5100 4300 5100
Wire Wire Line
3600 4800 3700 4800
Wire Wire Line
3600 4900 3700 4900
Wire Wire Line
3600 5000 3700 5000
Wire Wire Line
3600 5100 3700 5100
Text Label 4300 5100 0 50 ~ 0
DQ0
Text Label 4300 5000 0 50 ~ 0
DQ1
Text Label 4300 4900 0 50 ~ 0
DQ2
Text Label 4300 4800 0 50 ~ 0
DQ3
Text Label 3600 5100 2 50 ~ 0
DQ4
Text Label 3600 5000 2 50 ~ 0
DQ5
Text Label 3600 4900 2 50 ~ 0
DQ6
Text Label 3600 4800 2 50 ~ 0
DQ7
Wire Wire Line
4200 4700 4250 4700
Wire Wire Line
4250 4700 4250 5300
$Comp
L power:GND #PWR0101
U 1 1 5F3DE7EC
P 4250 5300
F 0 "#PWR0101" H 4250 5050 50 0001 C CNN
F 1 "GND" H 4255 5127 50 0000 C CNN
F 2 "" H 4250 5300 50 0001 C CNN
F 3 "" H 4250 5300 50 0001 C CNN
1 4250 5300
1 0 0 -1
$EndComp
Wire Wire Line
4200 4600 4250 4600
Wire Wire Line
4250 4600 4250 4350
Wire Wire Line
3700 4600 3650 4600
Wire Wire Line
3650 4600 3650 4350
$Comp
L power:+3V3 #PWR0102
U 1 1 5F3DEEF6
P 3650 4350
F 0 "#PWR0102" H 3650 4200 50 0001 C CNN
F 1 "+3V3" H 3665 4523 50 0000 C CNN
F 2 "" H 3650 4350 50 0001 C CNN
F 3 "" H 3650 4350 50 0001 C CNN
1 3650 4350
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0103
U 1 1 5F3DEF14
P 4250 4350
F 0 "#PWR0103" H 4250 4200 50 0001 C CNN
F 1 "+3V3" H 4265 4523 50 0000 C CNN
F 2 "" H 4250 4350 50 0001 C CNN
F 3 "" H 4250 4350 50 0001 C CNN
1 4250 4350
1 0 0 -1
$EndComp
Wire Wire Line
3700 4700 3650 4700
Wire Wire Line
3650 4700 3650 5300
$Comp
L power:GND #PWR0104
U 1 1 5F3DF31B
P 3650 5300
F 0 "#PWR0104" H 3650 5050 50 0001 C CNN
F 1 "GND" H 3655 5127 50 0000 C CNN
F 2 "" H 3650 5300 50 0001 C CNN
F 3 "" H 3650 5300 50 0001 C CNN
1 3650 5300
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J1
U 1 1 5F3DF38F
P 4000 3250
F 0 "J1" H 4050 2725 50 0000 C CNN
F 1 "2.54mm_2x6" H 4050 2816 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4000 3250 50 0001 C CNN
F 3 "~" H 4000 3250 50 0001 C CNN
1 4000 3250
-1 0 0 1
$EndComp
Wire Wire Line
4200 3150 4300 3150
Wire Wire Line
4200 3250 4300 3250
Wire Wire Line
4200 3350 4300 3350
Wire Wire Line
4200 3450 4300 3450
Wire Wire Line
3600 3450 3700 3450
Wire Wire Line
4200 3050 4250 3050
Wire Wire Line
4250 3050 4250 3650
$Comp
L power:GND #PWR0105
U 1 1 5F3DF3A8
P 4250 3650
F 0 "#PWR0105" H 4250 3400 50 0001 C CNN
F 1 "GND" H 4255 3477 50 0000 C CNN
F 2 "" H 4250 3650 50 0001 C CNN
F 3 "" H 4250 3650 50 0001 C CNN
1 4250 3650
1 0 0 -1
$EndComp
Wire Wire Line
4200 2950 4250 2950
Wire Wire Line
4250 2950 4250 2700
Wire Wire Line
3700 2950 3650 2950
Wire Wire Line
3650 2950 3650 2700
$Comp
L power:+3V3 #PWR0106
U 1 1 5F3DF3B2
P 3650 2700
F 0 "#PWR0106" H 3650 2550 50 0001 C CNN
F 1 "+3V3" H 3665 2873 50 0000 C CNN
F 2 "" H 3650 2700 50 0001 C CNN
F 3 "" H 3650 2700 50 0001 C CNN
1 3650 2700
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0107
U 1 1 5F3DF3B8
P 4250 2700
F 0 "#PWR0107" H 4250 2550 50 0001 C CNN
F 1 "+3V3" H 4265 2873 50 0000 C CNN
F 2 "" H 4250 2700 50 0001 C CNN
F 3 "" H 4250 2700 50 0001 C CNN
1 4250 2700
1 0 0 -1
$EndComp
Wire Wire Line
3700 3050 3650 3050
Wire Wire Line
3650 3050 3650 3650
$Comp
L power:GND #PWR0108
U 1 1 5F3DF3C0
P 3650 3650
F 0 "#PWR0108" H 3650 3400 50 0001 C CNN
F 1 "GND" H 3655 3477 50 0000 C CNN
F 2 "" H 3650 3650 50 0001 C CNN
F 3 "" H 3650 3650 50 0001 C CNN
1 3650 3650
1 0 0 -1
$EndComp
Wire Wire Line
7200 3750 7300 3750
Wire Wire Line
7200 3850 7300 3850
Wire Wire Line
7200 4050 7300 4050
Wire Wire Line
7200 4250 7300 4250
Text Label 7300 3750 0 50 ~ 0
~CK~
Text Label 7300 3850 0 50 ~ 0
CK
Text Label 7300 3950 0 50 ~ 0
~CS~
Text Label 7300 4050 0 50 ~ 0
RWDS
Text Label 7300 4250 0 50 ~ 0
~RST~
Wire Wire Line
6500 2850 6350 2850
Wire Wire Line
6350 2850 6350 2600
$Comp
L power:+3V3 #PWR0109
U 1 1 5F3E24FA
P 6350 2600
F 0 "#PWR0109" H 6350 2450 50 0001 C CNN
F 1 "+3V3" H 6365 2773 50 0000 C CNN
F 2 "" H 6350 2600 50 0001 C CNN
F 3 "" H 6350 2600 50 0001 C CNN
1 6350 2600
1 0 0 -1
$EndComp
Wire Wire Line
6500 2950 6350 2950
Wire Wire Line
6350 2950 6350 2850
Connection ~ 6350 2850
Wire Wire Line
6350 2950 6350 3350
Wire Wire Line
6350 3350 6500 3350
Connection ~ 6350 2950
Wire Wire Line
6500 3050 6400 3050
Wire Wire Line
6400 3050 6400 3150
$Comp
L power:GND #PWR0110
U 1 1 5F3E5242
P 6400 4450
F 0 "#PWR0110" H 6400 4200 50 0001 C CNN
F 1 "GND" H 6405 4277 50 0000 C CNN
F 2 "" H 6400 4450 50 0001 C CNN
F 3 "" H 6400 4450 50 0001 C CNN
1 6400 4450
1 0 0 -1
$EndComp
Wire Wire Line
6500 3150 6400 3150
Connection ~ 6400 3150
Wire Wire Line
6400 3150 6400 3450
Wire Wire Line
6500 3450 6400 3450
Connection ~ 6400 3450
Wire Wire Line
6400 3450 6400 4450
Wire Wire Line
7700 3950 7700 3850
Wire Wire Line
7200 3950 7700 3950
$Comp
L Device:R R1
U 1 1 5F3EBAFE
P 7700 3700
F 0 "R1" H 7770 3746 50 0000 L CNN
F 1 "4k7" H 7770 3655 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 7630 3700 50 0001 C CNN
F 3 "~" H 7700 3700 50 0001 C CNN
1 7700 3700
1 0 0 -1
$EndComp
Wire Wire Line
7700 3550 7700 3450
$Comp
L power:+3V3 #PWR0111
U 1 1 5F3ECD1E
P 7700 3450
F 0 "#PWR0111" H 7700 3300 50 0001 C CNN
F 1 "+3V3" H 7715 3623 50 0000 C CNN
F 2 "" H 7700 3450 50 0001 C CNN
F 3 "" H 7700 3450 50 0001 C CNN
1 7700 3450
1 0 0 -1
$EndComp
$Comp
L Device:C C3
U 1 1 5F3ECD7E
P 5900 2850
F 0 "C3" H 6015 2896 50 0000 L CNN
F 1 "100n" H 6015 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5938 2700 50 0001 C CNN
F 3 "~" H 5900 2850 50 0001 C CNN
1 5900 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0112
U 1 1 5F3ECDFD
P 5900 2600
F 0 "#PWR0112" H 5900 2450 50 0001 C CNN
F 1 "+3V3" H 5915 2773 50 0000 C CNN
F 2 "" H 5900 2600 50 0001 C CNN
F 3 "" H 5900 2600 50 0001 C CNN
1 5900 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0113
U 1 1 5F3ECEDB
P 5900 3100
F 0 "#PWR0113" H 5900 2850 50 0001 C CNN
F 1 "GND" H 5905 2927 50 0000 C CNN
F 2 "" H 5900 3100 50 0001 C CNN
F 3 "" H 5900 3100 50 0001 C CNN
1 5900 3100
1 0 0 -1
$EndComp
Wire Wire Line
5900 3100 5900 3000
Wire Wire Line
5900 2700 5900 2600
$Comp
L Device:C C2
U 1 1 5F3EF315
P 5500 2850
F 0 "C2" H 5615 2896 50 0000 L CNN
F 1 "100n" H 5615 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5538 2700 50 0001 C CNN
F 3 "~" H 5500 2850 50 0001 C CNN
1 5500 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0114
U 1 1 5F3EF31C
P 5500 2600
F 0 "#PWR0114" H 5500 2450 50 0001 C CNN
F 1 "+3V3" H 5515 2773 50 0000 C CNN
F 2 "" H 5500 2600 50 0001 C CNN
F 3 "" H 5500 2600 50 0001 C CNN
1 5500 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0115
U 1 1 5F3EF322
P 5500 3100
F 0 "#PWR0115" H 5500 2850 50 0001 C CNN
F 1 "GND" H 5505 2927 50 0000 C CNN
F 2 "" H 5500 3100 50 0001 C CNN
F 3 "" H 5500 3100 50 0001 C CNN
1 5500 3100
1 0 0 -1
$EndComp
Wire Wire Line
5500 3100 5500 3000
Wire Wire Line
5500 2700 5500 2600
$Comp
L Device:C C1
U 1 1 5F3F0612
P 5100 2850
F 0 "C1" H 5215 2896 50 0000 L CNN
F 1 "100n" H 5215 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5138 2700 50 0001 C CNN
F 3 "~" H 5100 2850 50 0001 C CNN
1 5100 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0116
U 1 1 5F3F0619
P 5100 2600
F 0 "#PWR0116" H 5100 2450 50 0001 C CNN
F 1 "+3V3" H 5115 2773 50 0000 C CNN
F 2 "" H 5100 2600 50 0001 C CNN
F 3 "" H 5100 2600 50 0001 C CNN
1 5100 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0117
U 1 1 5F3F061F
P 5100 3100
F 0 "#PWR0117" H 5100 2850 50 0001 C CNN
F 1 "GND" H 5105 2927 50 0000 C CNN
F 2 "" H 5100 3100 50 0001 C CNN
F 3 "" H 5100 3100 50 0001 C CNN
1 5100 3100
1 0 0 -1
$EndComp
Wire Wire Line
5100 3100 5100 3000
Wire Wire Line
5100 2700 5100 2600
Text Notes 5100 3450 0 50 ~ 0
One cap per supply pin
Text Notes 8000 3800 0 50 ~ 0
Datasheet says ~CS~ *must* be pulled high at power-on.\nNo such restriction on ~RST~, so rely on default state from other side
NoConn ~ 3700 3150
NoConn ~ 3700 3250
NoConn ~ 3700 3350
Text Label 4300 3150 0 50 ~ 0
~CK~
Text Label 4300 3250 0 50 ~ 0
CK
Text Label 4300 3350 0 50 ~ 0
RWDS
Text Label 4300 3450 0 50 ~ 0
~CS~
Text Label 3600 3450 2 50 ~ 0
~RST~
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,210 @@
(export (version D)
(design
(source /home/luke/proj/picodvi/hardware/pmod_hyperram/pmod_hyperram.sch)
(date "Wed 19 Aug 2020 18:12:49 BST")
(tool "Eeschema 5.0.2-bee76a0~70~ubuntu18.04.1")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title)
(company)
(rev)
(date)
(source pmod_hyperram.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref U1)
(value S70KS128)
(footprint picodvi:S70KS128)
(libsource (lib picodvi) (part S70KS128) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 5F3DDDDC))
(comp (ref J2)
(value 2.54mm_2x6)
(footprint Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_02x06_Odd_Even) (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F3DDEB5))
(comp (ref J1)
(value 2.54mm_2x6)
(footprint Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_02x06_Odd_Even) (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5F3DF38F))
(comp (ref R1)
(value 4k7)
(footprint Resistor_SMD:R_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5F3EBAFE))
(comp (ref C3)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F3ECD7E))
(comp (ref C2)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F3EF315))
(comp (ref C1)
(value 100n)
(footprint Capacitor_SMD:C_0402_1005Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5F3F0612)))
(libparts
(libpart (lib Connector_Generic) (part Conn_02x06_Odd_Even)
(description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_2x??_*))
(fields
(field (name Reference) J)
(field (name Value) Conn_02x06_Odd_Even))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))
(pin (num 5) (name Pin_5) (type passive))
(pin (num 6) (name Pin_6) (type passive))
(pin (num 7) (name Pin_7) (type passive))
(pin (num 8) (name Pin_8) (type passive))
(pin (num 9) (name Pin_9) (type passive))
(pin (num 10) (name Pin_10) (type passive))
(pin (num 11) (name Pin_11) (type passive))
(pin (num 12) (name Pin_12) (type passive))))
(libpart (lib Device) (part C)
(description "Unpolarized capacitor")
(docs ~)
(footprints
(fp C_*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part R)
(description Resistor)
(docs ~)
(footprints
(fp R_*))
(fields
(field (name Reference) R)
(field (name Value) R))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib picodvi) (part S70KS128)
(fields
(field (name Reference) U)
(field (name Value) S70KS128))
(pins
(pin (num A3) (name ~CS~) (type input))
(pin (num A4) (name ~RST~) (type input))
(pin (num B1) (name ~CK~) (type input))
(pin (num B2) (name CK) (type input))
(pin (num B3) (name VSS) (type passive))
(pin (num B4) (name VCC) (type power_in))
(pin (num C1) (name VSSQ) (type passive))
(pin (num C3) (name RWDS) (type BiDi))
(pin (num C4) (name DQ2) (type BiDi))
(pin (num D1) (name VCCQ) (type power_in))
(pin (num D2) (name DQ1) (type BiDi))
(pin (num D3) (name DQ0) (type BiDi))
(pin (num D4) (name DQ3) (type BiDi))
(pin (num D5) (name DQ4) (type BiDi))
(pin (num E1) (name DQ7) (type BiDi))
(pin (num E2) (name DQ6) (type BiDi))
(pin (num E3) (name DQ5) (type BiDi))
(pin (num E4) (name VCCQ) (type power_in))
(pin (num E5) (name VSSQ) (type passive)))))
(libraries
(library (logical Connector_Generic)
(uri /usr/share/kicad/library/Connector_Generic.lib))
(library (logical Device)
(uri /usr/share/kicad/library/Device.lib))
(library (logical picodvi)
(uri /home/luke/proj/picodvi/hardware/pmod_hyperram/../board/picodvi.lib)))
(nets
(net (code 1) (name /CK)
(node (ref U1) (pin B2))
(node (ref J1) (pin 5)))
(net (code 2) (name /RWDS)
(node (ref U1) (pin C3))
(node (ref J1) (pin 3)))
(net (code 3) (name /~RST~)
(node (ref J1) (pin 2))
(node (ref U1) (pin A4)))
(net (code 4) (name /~CK~)
(node (ref J1) (pin 7))
(node (ref U1) (pin B1)))
(net (code 5) (name +3V3)
(node (ref U1) (pin B4))
(node (ref C1) (pin 1))
(node (ref J2) (pin 11))
(node (ref C2) (pin 1))
(node (ref U1) (pin E4))
(node (ref C3) (pin 1))
(node (ref J2) (pin 12))
(node (ref R1) (pin 1))
(node (ref U1) (pin D1))
(node (ref J1) (pin 12))
(node (ref J1) (pin 11)))
(net (code 6) (name GND)
(node (ref J2) (pin 9))
(node (ref U1) (pin B3))
(node (ref U1) (pin C1))
(node (ref J2) (pin 10))
(node (ref U1) (pin E5))
(node (ref J1) (pin 10))
(node (ref C2) (pin 2))
(node (ref C1) (pin 2))
(node (ref C3) (pin 2))
(node (ref J1) (pin 9)))
(net (code 7) (name "Net-(J1-Pad8)")
(node (ref J1) (pin 8)))
(net (code 8) (name "Net-(J1-Pad6)")
(node (ref J1) (pin 6)))
(net (code 9) (name "Net-(J1-Pad4)")
(node (ref J1) (pin 4)))
(net (code 10) (name /~CS~)
(node (ref R1) (pin 2))
(node (ref J1) (pin 1))
(node (ref U1) (pin A3)))
(net (code 11) (name /DQ3)
(node (ref U1) (pin D4))
(node (ref J2) (pin 7)))
(net (code 12) (name /DQ0)
(node (ref J2) (pin 1))
(node (ref U1) (pin D3)))
(net (code 13) (name /DQ1)
(node (ref U1) (pin D2))
(node (ref J2) (pin 3)))
(net (code 14) (name /DQ2)
(node (ref U1) (pin C4))
(node (ref J2) (pin 5)))
(net (code 15) (name /DQ4)
(node (ref J2) (pin 2))
(node (ref U1) (pin D5)))
(net (code 16) (name /DQ5)
(node (ref J2) (pin 4))
(node (ref U1) (pin E3)))
(net (code 17) (name /DQ6)
(node (ref U1) (pin E2))
(node (ref J2) (pin 6)))
(net (code 18) (name /DQ7)
(node (ref J2) (pin 8))
(node (ref U1) (pin E1)))))

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,33 @@
update=22/05/2015 07:44:53
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]

Wyświetl plik

@ -0,0 +1,467 @@
EESchema Schematic File Version 4
EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title "HyperRAM PMOD"
Date "2020-08-19"
Rev "A"
Comp "Luke Wren"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L picodvi:S70KS128 U1
U 1 1 5F3DDDDC
P 6850 3550
F 0 "U1" H 6850 4515 50 0000 C CNN
F 1 "S70KS128" H 6850 4424 50 0000 C CNN
F 2 "picodvi:S70KS128" H 6800 4900 50 0001 C CNN
F 3 "" H 6800 4900 50 0001 C CNN
1 6850 3550
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J2
U 1 1 5F3DDEB5
P 4000 4900
F 0 "J2" H 4050 4375 50 0000 C CNN
F 1 "2.54mm_2x6" H 4050 4466 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4000 4900 50 0001 C CNN
F 3 "~" H 4000 4900 50 0001 C CNN
1 4000 4900
-1 0 0 1
$EndComp
Wire Wire Line
7200 2850 7300 2850
Wire Wire Line
7200 2950 7300 2950
Wire Wire Line
7200 3050 7300 3050
Wire Wire Line
7200 3150 7300 3150
Wire Wire Line
7200 3250 7300 3250
Wire Wire Line
7200 3350 7300 3350
Wire Wire Line
7200 3450 7300 3450
Wire Wire Line
7200 3550 7300 3550
Text Label 7300 2850 0 50 ~ 0
DQ0
Text Label 7300 2950 0 50 ~ 0
DQ1
Text Label 7300 3050 0 50 ~ 0
DQ2
Text Label 7300 3150 0 50 ~ 0
DQ3
Text Label 7300 3250 0 50 ~ 0
DQ4
Text Label 7300 3350 0 50 ~ 0
DQ5
Text Label 7300 3450 0 50 ~ 0
DQ6
Text Label 7300 3550 0 50 ~ 0
DQ7
Wire Wire Line
4200 4800 4300 4800
Wire Wire Line
4200 4900 4300 4900
Wire Wire Line
4200 5000 4300 5000
Wire Wire Line
4200 5100 4300 5100
Wire Wire Line
3600 4800 3700 4800
Wire Wire Line
3600 4900 3700 4900
Wire Wire Line
3600 5000 3700 5000
Wire Wire Line
3600 5100 3700 5100
Text Label 4300 5100 0 50 ~ 0
DQ0
Text Label 4300 5000 0 50 ~ 0
DQ1
Text Label 4300 4900 0 50 ~ 0
DQ2
Text Label 4300 4800 0 50 ~ 0
DQ3
Text Label 3600 5100 2 50 ~ 0
DQ4
Text Label 3600 5000 2 50 ~ 0
DQ5
Text Label 3600 4900 2 50 ~ 0
DQ6
Text Label 3600 4800 2 50 ~ 0
DQ7
Wire Wire Line
4200 4700 4250 4700
Wire Wire Line
4250 4700 4250 5300
$Comp
L power:GND #PWR0101
U 1 1 5F3DE7EC
P 4250 5300
F 0 "#PWR0101" H 4250 5050 50 0001 C CNN
F 1 "GND" H 4255 5127 50 0000 C CNN
F 2 "" H 4250 5300 50 0001 C CNN
F 3 "" H 4250 5300 50 0001 C CNN
1 4250 5300
1 0 0 -1
$EndComp
Wire Wire Line
4200 4600 4250 4600
Wire Wire Line
4250 4600 4250 4350
Wire Wire Line
3700 4600 3650 4600
Wire Wire Line
3650 4600 3650 4350
$Comp
L power:+3V3 #PWR0102
U 1 1 5F3DEEF6
P 3650 4350
F 0 "#PWR0102" H 3650 4200 50 0001 C CNN
F 1 "+3V3" H 3665 4523 50 0000 C CNN
F 2 "" H 3650 4350 50 0001 C CNN
F 3 "" H 3650 4350 50 0001 C CNN
1 3650 4350
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0103
U 1 1 5F3DEF14
P 4250 4350
F 0 "#PWR0103" H 4250 4200 50 0001 C CNN
F 1 "+3V3" H 4265 4523 50 0000 C CNN
F 2 "" H 4250 4350 50 0001 C CNN
F 3 "" H 4250 4350 50 0001 C CNN
1 4250 4350
1 0 0 -1
$EndComp
Wire Wire Line
3700 4700 3650 4700
Wire Wire Line
3650 4700 3650 5300
$Comp
L power:GND #PWR0104
U 1 1 5F3DF31B
P 3650 5300
F 0 "#PWR0104" H 3650 5050 50 0001 C CNN
F 1 "GND" H 3655 5127 50 0000 C CNN
F 2 "" H 3650 5300 50 0001 C CNN
F 3 "" H 3650 5300 50 0001 C CNN
1 3650 5300
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J1
U 1 1 5F3DF38F
P 4000 3250
F 0 "J1" H 4050 2725 50 0000 C CNN
F 1 "2.54mm_2x6" H 4050 2816 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4000 3250 50 0001 C CNN
F 3 "~" H 4000 3250 50 0001 C CNN
1 4000 3250
-1 0 0 1
$EndComp
Wire Wire Line
4200 3150 4300 3150
Wire Wire Line
4200 3250 4300 3250
Wire Wire Line
4200 3350 4300 3350
Wire Wire Line
4200 3450 4300 3450
Wire Wire Line
3600 3450 3700 3450
Wire Wire Line
4200 3050 4250 3050
Wire Wire Line
4250 3050 4250 3650
$Comp
L power:GND #PWR0105
U 1 1 5F3DF3A8
P 4250 3650
F 0 "#PWR0105" H 4250 3400 50 0001 C CNN
F 1 "GND" H 4255 3477 50 0000 C CNN
F 2 "" H 4250 3650 50 0001 C CNN
F 3 "" H 4250 3650 50 0001 C CNN
1 4250 3650
1 0 0 -1
$EndComp
Wire Wire Line
4200 2950 4250 2950
Wire Wire Line
4250 2950 4250 2700
Wire Wire Line
3700 2950 3650 2950
Wire Wire Line
3650 2950 3650 2700
$Comp
L power:+3V3 #PWR0106
U 1 1 5F3DF3B2
P 3650 2700
F 0 "#PWR0106" H 3650 2550 50 0001 C CNN
F 1 "+3V3" H 3665 2873 50 0000 C CNN
F 2 "" H 3650 2700 50 0001 C CNN
F 3 "" H 3650 2700 50 0001 C CNN
1 3650 2700
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0107
U 1 1 5F3DF3B8
P 4250 2700
F 0 "#PWR0107" H 4250 2550 50 0001 C CNN
F 1 "+3V3" H 4265 2873 50 0000 C CNN
F 2 "" H 4250 2700 50 0001 C CNN
F 3 "" H 4250 2700 50 0001 C CNN
1 4250 2700
1 0 0 -1
$EndComp
Wire Wire Line
3700 3050 3650 3050
Wire Wire Line
3650 3050 3650 3650
$Comp
L power:GND #PWR0108
U 1 1 5F3DF3C0
P 3650 3650
F 0 "#PWR0108" H 3650 3400 50 0001 C CNN
F 1 "GND" H 3655 3477 50 0000 C CNN
F 2 "" H 3650 3650 50 0001 C CNN
F 3 "" H 3650 3650 50 0001 C CNN
1 3650 3650
1 0 0 -1
$EndComp
Wire Wire Line
7200 3750 7300 3750
Wire Wire Line
7200 3850 7300 3850
Wire Wire Line
7200 4050 7300 4050
Wire Wire Line
7200 4250 7300 4250
Text Label 7300 3750 0 50 ~ 0
~CK~
Text Label 7300 3850 0 50 ~ 0
CK
Text Label 7300 3950 0 50 ~ 0
~CS~
Text Label 7300 4050 0 50 ~ 0
RWDS
Text Label 7300 4250 0 50 ~ 0
~RST~
Wire Wire Line
6500 2850 6350 2850
Wire Wire Line
6350 2850 6350 2600
$Comp
L power:+3V3 #PWR0109
U 1 1 5F3E24FA
P 6350 2600
F 0 "#PWR0109" H 6350 2450 50 0001 C CNN
F 1 "+3V3" H 6365 2773 50 0000 C CNN
F 2 "" H 6350 2600 50 0001 C CNN
F 3 "" H 6350 2600 50 0001 C CNN
1 6350 2600
1 0 0 -1
$EndComp
Wire Wire Line
6500 2950 6350 2950
Wire Wire Line
6350 2950 6350 2850
Connection ~ 6350 2850
Wire Wire Line
6350 2950 6350 3350
Wire Wire Line
6350 3350 6500 3350
Connection ~ 6350 2950
Wire Wire Line
6500 3050 6400 3050
Wire Wire Line
6400 3050 6400 3150
$Comp
L power:GND #PWR0110
U 1 1 5F3E5242
P 6400 4450
F 0 "#PWR0110" H 6400 4200 50 0001 C CNN
F 1 "GND" H 6405 4277 50 0000 C CNN
F 2 "" H 6400 4450 50 0001 C CNN
F 3 "" H 6400 4450 50 0001 C CNN
1 6400 4450
1 0 0 -1
$EndComp
Wire Wire Line
6500 3150 6400 3150
Connection ~ 6400 3150
Wire Wire Line
6400 3150 6400 3450
Wire Wire Line
6500 3450 6400 3450
Connection ~ 6400 3450
Wire Wire Line
6400 3450 6400 4450
Wire Wire Line
7700 3950 7700 3850
Wire Wire Line
7200 3950 7700 3950
$Comp
L Device:R R1
U 1 1 5F3EBAFE
P 7700 3700
F 0 "R1" H 7770 3746 50 0000 L CNN
F 1 "4k7" H 7770 3655 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 7630 3700 50 0001 C CNN
F 3 "~" H 7700 3700 50 0001 C CNN
1 7700 3700
1 0 0 -1
$EndComp
Wire Wire Line
7700 3550 7700 3450
$Comp
L power:+3V3 #PWR0111
U 1 1 5F3ECD1E
P 7700 3450
F 0 "#PWR0111" H 7700 3300 50 0001 C CNN
F 1 "+3V3" H 7715 3623 50 0000 C CNN
F 2 "" H 7700 3450 50 0001 C CNN
F 3 "" H 7700 3450 50 0001 C CNN
1 7700 3450
1 0 0 -1
$EndComp
$Comp
L Device:C C3
U 1 1 5F3ECD7E
P 5900 2850
F 0 "C3" H 6015 2896 50 0000 L CNN
F 1 "100n" H 6015 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5938 2700 50 0001 C CNN
F 3 "~" H 5900 2850 50 0001 C CNN
1 5900 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0112
U 1 1 5F3ECDFD
P 5900 2600
F 0 "#PWR0112" H 5900 2450 50 0001 C CNN
F 1 "+3V3" H 5915 2773 50 0000 C CNN
F 2 "" H 5900 2600 50 0001 C CNN
F 3 "" H 5900 2600 50 0001 C CNN
1 5900 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0113
U 1 1 5F3ECEDB
P 5900 3100
F 0 "#PWR0113" H 5900 2850 50 0001 C CNN
F 1 "GND" H 5905 2927 50 0000 C CNN
F 2 "" H 5900 3100 50 0001 C CNN
F 3 "" H 5900 3100 50 0001 C CNN
1 5900 3100
1 0 0 -1
$EndComp
Wire Wire Line
5900 3100 5900 3000
Wire Wire Line
5900 2700 5900 2600
$Comp
L Device:C C2
U 1 1 5F3EF315
P 5500 2850
F 0 "C2" H 5615 2896 50 0000 L CNN
F 1 "100n" H 5615 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5538 2700 50 0001 C CNN
F 3 "~" H 5500 2850 50 0001 C CNN
1 5500 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0114
U 1 1 5F3EF31C
P 5500 2600
F 0 "#PWR0114" H 5500 2450 50 0001 C CNN
F 1 "+3V3" H 5515 2773 50 0000 C CNN
F 2 "" H 5500 2600 50 0001 C CNN
F 3 "" H 5500 2600 50 0001 C CNN
1 5500 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0115
U 1 1 5F3EF322
P 5500 3100
F 0 "#PWR0115" H 5500 2850 50 0001 C CNN
F 1 "GND" H 5505 2927 50 0000 C CNN
F 2 "" H 5500 3100 50 0001 C CNN
F 3 "" H 5500 3100 50 0001 C CNN
1 5500 3100
1 0 0 -1
$EndComp
Wire Wire Line
5500 3100 5500 3000
Wire Wire Line
5500 2700 5500 2600
$Comp
L Device:C C1
U 1 1 5F3F0612
P 5100 2850
F 0 "C1" H 5215 2896 50 0000 L CNN
F 1 "100n" H 5215 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5138 2700 50 0001 C CNN
F 3 "~" H 5100 2850 50 0001 C CNN
1 5100 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0116
U 1 1 5F3F0619
P 5100 2600
F 0 "#PWR0116" H 5100 2450 50 0001 C CNN
F 1 "+3V3" H 5115 2773 50 0000 C CNN
F 2 "" H 5100 2600 50 0001 C CNN
F 3 "" H 5100 2600 50 0001 C CNN
1 5100 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0117
U 1 1 5F3F061F
P 5100 3100
F 0 "#PWR0117" H 5100 2850 50 0001 C CNN
F 1 "GND" H 5105 2927 50 0000 C CNN
F 2 "" H 5100 3100 50 0001 C CNN
F 3 "" H 5100 3100 50 0001 C CNN
1 5100 3100
1 0 0 -1
$EndComp
Wire Wire Line
5100 3100 5100 3000
Wire Wire Line
5100 2700 5100 2600
Text Notes 5100 3450 0 50 ~ 0
One cap per supply pin
Text Notes 8000 3800 0 50 ~ 0
Datasheet says ~CS~ *must* be pulled high at power-on.\nNo such restriction on ~RST~, so rely on default state from other side
NoConn ~ 3700 3150
NoConn ~ 3700 3250
NoConn ~ 3700 3350
Text Label 4300 3150 0 50 ~ 0
~CK~
Text Label 4300 3250 0 50 ~ 0
CK
Text Label 4300 3350 0 50 ~ 0
RWDS
Text Label 4300 3450 0 50 ~ 0
~CS~
Text Label 3600 3450 2 50 ~ 0
~RST~
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name picodvi)(type Legacy)(uri ${KIPRJMOD}/../board/picodvi.lib)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name picodvi)(type KiCad)(uri ${KIPRJMOD}/../board/picodvi.pretty)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,177 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x06
#
DEF Connector_Generic_Conn_01x06 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x06" 0 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -350 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
X Pin_6 6 -200 -300 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x06_Odd_Even
#
DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -350 1 1 10 f
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 300 -300 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Memory_Flash_AT25SF081-XMHF-X
#
DEF Memory_Flash_AT25SF081-XMHF-X U 0 20 Y Y 1 F N
F0 "U" 100 500 50 H V C CNN
F1 "Memory_Flash_AT25SF081-XMHF-X" 400 400 50 H V C CNN
F2 "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" 0 -600 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS AT25SF081-XMHF-X
$FPLIST
TSSOP?8*4.4x3mm*P0.65mm*
$ENDFPLIST
DRAW
S -450 350 450 -350 1 1 10 f
X ~CS 1 -600 0 150 R 50 50 1 1 I
X SO/IO1 2 600 200 150 L 50 50 1 1 B
X ~WP~/IO2 3 -600 -100 150 R 50 50 1 1 B
X GND 4 0 -500 150 U 50 50 1 1 W
X SI/IO0 5 -600 200 150 R 50 50 1 1 B
X SCK 6 -600 100 150 R 50 50 1 1 I
X ~HOLD~/IO3 7 -600 -200 150 R 50 50 1 1 B
X VCC 8 0 500 150 D 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Switch_SW_Push
#
DEF Switch_SW_Push SW 0 40 N N 1 F N
F0 "SW" 50 100 50 H V L CNN
F1 "Switch_SW_Push" 0 -60 50 H V C CNN
F2 "" 0 200 50 H I C CNN
F3 "" 0 200 50 H I C CNN
DRAW
C -80 0 20 0 1 0 N
C 80 0 20 0 1 0 N
P 2 0 1 0 0 50 0 120 N
P 2 0 1 0 100 50 -100 50 N
X 1 1 -200 0 100 R 50 50 0 1 P
X 2 2 200 0 100 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Wyświetl plik

@ -0,0 +1,353 @@
EESchema Schematic File Version 4
EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title "QSPI PSRAM PMOD breakout"
Date "2020-08-19"
Rev "A"
Comp "Luke Wren"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J1
U 1 1 5F3D11ED
P 3900 4000
F 0 "J1" H 3950 3475 50 0000 C CNN
F 1 "2.54mm_2x06" H 3950 3566 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 3900 4000 50 0001 C CNN
F 3 "~" H 3900 4000 50 0001 C CNN
1 3900 4000
-1 0 0 1
$EndComp
Wire Wire Line
4100 4200 4200 4200
Wire Wire Line
4100 4100 4200 4100
Wire Wire Line
4100 4000 4200 4000
Wire Wire Line
4100 3900 4200 3900
Wire Wire Line
4100 3800 4150 3800
Wire Wire Line
4150 3800 4150 4300
Wire Wire Line
3600 3800 3550 3800
Wire Wire Line
3550 3800 3550 4300
Wire Wire Line
3600 4100 3500 4100
Wire Wire Line
3600 4200 3500 4200
$Comp
L power:GND #PWR0101
U 1 1 5F3D1583
P 3550 4300
F 0 "#PWR0101" H 3550 4050 50 0001 C CNN
F 1 "GND" H 3555 4127 50 0000 C CNN
F 2 "" H 3550 4300 50 0001 C CNN
F 3 "" H 3550 4300 50 0001 C CNN
1 3550 4300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0102
U 1 1 5F3D1597
P 4150 4300
F 0 "#PWR0102" H 4150 4050 50 0001 C CNN
F 1 "GND" H 4155 4127 50 0000 C CNN
F 2 "" H 4150 4300 50 0001 C CNN
F 3 "" H 4150 4300 50 0001 C CNN
1 4150 4300
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0103
U 1 1 5F3D15F4
P 4150 3450
F 0 "#PWR0103" H 4150 3300 50 0001 C CNN
F 1 "+3V3" H 4165 3623 50 0000 C CNN
F 2 "" H 4150 3450 50 0001 C CNN
F 3 "" H 4150 3450 50 0001 C CNN
1 4150 3450
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0104
U 1 1 5F3D1608
P 3550 3450
F 0 "#PWR0104" H 3550 3300 50 0001 C CNN
F 1 "+3V3" H 3565 3623 50 0000 C CNN
F 2 "" H 3550 3450 50 0001 C CNN
F 3 "" H 3550 3450 50 0001 C CNN
1 3550 3450
1 0 0 -1
$EndComp
Wire Wire Line
3550 3700 3600 3700
Wire Wire Line
3550 3450 3550 3700
Wire Wire Line
4100 3700 4150 3700
Wire Wire Line
4150 3700 4150 3450
Text Label 4200 3900 0 50 ~ 0
SD3
Text Label 4200 4000 0 50 ~ 0
SD2
Text Label 4200 4100 0 50 ~ 0
SD1
Text Label 4200 4200 0 50 ~ 0
SD0
Text Label 3500 4200 2 50 ~ 0
SCK
Text Label 3500 4100 2 50 ~ 0
~CS~
$Comp
L Memory_Flash:AT25SF081-XMHF-X U1
U 1 1 5F3D1B45
P 8150 3900
F 0 "U1" H 7750 4350 50 0000 L CNN
F 1 "LY68L6400" H 8250 4350 50 0000 L CNN
F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 8150 3300 50 0001 C CNN
F 3 "https://www.adestotech.com/wp-content/uploads/DS-AT25SF081_045.pdf" H 8150 3900 50 0001 C CNN
1 8150 3900
1 0 0 -1
$EndComp
Wire Wire Line
7550 3700 7450 3700
Wire Wire Line
7550 3800 7450 3800
Wire Wire Line
7550 3900 7450 3900
Wire Wire Line
7550 4000 7450 4000
Wire Wire Line
7550 4100 7450 4100
Text Label 7450 3700 2 50 ~ 0
SD0
Text Label 7450 3800 2 50 ~ 0
SCK
Text Label 7450 3900 2 50 ~ 0
~CS~
Text Label 7450 4000 2 50 ~ 0
SD2
Text Label 7450 4100 2 50 ~ 0
SD3
Text Label 8750 3700 0 50 ~ 0
SD1
$Comp
L power:+3V3 #PWR0105
U 1 1 5F3D1ED8
P 8150 3300
F 0 "#PWR0105" H 8150 3150 50 0001 C CNN
F 1 "+3V3" H 8165 3473 50 0000 C CNN
F 2 "" H 8150 3300 50 0001 C CNN
F 3 "" H 8150 3300 50 0001 C CNN
1 8150 3300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0106
U 1 1 5F3D1F3F
P 8150 4500
F 0 "#PWR0106" H 8150 4250 50 0001 C CNN
F 1 "GND" H 8155 4327 50 0000 C CNN
F 2 "" H 8150 4500 50 0001 C CNN
F 3 "" H 8150 4500 50 0001 C CNN
1 8150 4500
1 0 0 -1
$EndComp
Wire Wire Line
8150 4400 8150 4500
Wire Wire Line
8150 3300 8150 3400
$Comp
L power:+3V3 #PWR0107
U 1 1 5F3D238D
P 6950 3300
F 0 "#PWR0107" H 6950 3150 50 0001 C CNN
F 1 "+3V3" H 6965 3473 50 0000 C CNN
F 2 "" H 6950 3300 50 0001 C CNN
F 3 "" H 6950 3300 50 0001 C CNN
1 6950 3300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0108
U 1 1 5F3D239C
P 6950 4500
F 0 "#PWR0108" H 6950 4250 50 0001 C CNN
F 1 "GND" H 6955 4327 50 0000 C CNN
F 2 "" H 6950 4500 50 0001 C CNN
F 3 "" H 6950 4500 50 0001 C CNN
1 6950 4500
1 0 0 -1
$EndComp
$Comp
L Device:C C1
U 1 1 5F3D23D2
P 6950 3900
F 0 "C1" H 7065 3946 50 0000 L CNN
F 1 "100n" H 7065 3855 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6988 3750 50 0001 C CNN
F 3 "~" H 6950 3900 50 0001 C CNN
1 6950 3900
1 0 0 -1
$EndComp
Wire Wire Line
6950 3750 6950 3300
Wire Wire Line
6950 4050 6950 4500
Text Notes 7350 4900 0 50 ~ 0
Yup that's it\n
Wire Wire Line
5150 4300 5250 4300
Wire Wire Line
5150 4200 5250 4200
Wire Wire Line
5150 4100 5250 4100
Wire Wire Line
5150 4000 5250 4000
Wire Wire Line
5150 3900 5250 3900
Wire Wire Line
5150 3800 5250 3800
Text Label 5250 4100 0 50 ~ 0
SD3
Text Label 5250 4200 0 50 ~ 0
SD2
Text Label 5250 4000 0 50 ~ 0
SD1
Text Label 5250 3800 0 50 ~ 0
SD0
Text Label 5250 3900 0 50 ~ 0
SCK
Text Label 5250 4300 0 50 ~ 0
~CS~
Text Notes 5250 4500 0 50 ~ 0
Debug header\n
$Comp
L Switch:SW_Push SW1
U 1 1 5F3D5B10
P 3600 5950
F 0 "SW1" V 3554 6098 50 0000 L CNN
F 1 "SW_Push" V 3645 6098 50 0000 L CNN
F 2 "picodvi:SW_SPST_PTS815" H 3600 6150 50 0001 C CNN
F 3 "" H 3600 6150 50 0001 C CNN
1 3600 5950
0 1 1 0
$EndComp
Wire Wire Line
3600 6150 3600 6250
$Comp
L power:GND #PWR0110
U 1 1 5F3D608E
P 3600 6250
F 0 "#PWR0110" H 3600 6000 50 0001 C CNN
F 1 "GND" H 3605 6077 50 0000 C CNN
F 2 "" H 3600 6250 50 0001 C CNN
F 3 "" H 3600 6250 50 0001 C CNN
1 3600 6250
1 0 0 -1
$EndComp
$Comp
L Switch:SW_Push SW2
U 1 1 5F3D60DA
P 4200 5950
F 0 "SW2" V 4154 6098 50 0000 L CNN
F 1 "SW_Push" V 4245 6098 50 0000 L CNN
F 2 "picodvi:SW_SPST_PTS815" H 4200 6150 50 0001 C CNN
F 3 "" H 4200 6150 50 0001 C CNN
1 4200 5950
0 1 1 0
$EndComp
Wire Wire Line
4200 6150 4200 6250
$Comp
L power:GND #PWR0111
U 1 1 5F3D60E2
P 4200 6250
F 0 "#PWR0111" H 4200 6000 50 0001 C CNN
F 1 "GND" H 4205 6077 50 0000 C CNN
F 2 "" H 4200 6250 50 0001 C CNN
F 3 "" H 4200 6250 50 0001 C CNN
1 4200 6250
1 0 0 -1
$EndComp
Wire Wire Line
3600 5750 3600 5650
Wire Wire Line
4200 5750 4200 5650
Text Label 3600 5650 2 50 ~ 0
BUTTON_A
Text Label 3500 3900 2 50 ~ 0
BUTTON_A
Text Label 4200 5650 2 50 ~ 0
BUTTON_B
Text Label 3500 4000 2 50 ~ 0
BUTTON_B
Wire Wire Line
3600 3900 3500 3900
Wire Wire Line
3600 4000 3500 4000
Text Notes 3000 4750 0 50 ~ 0
Want data bus to be on consecutive ascending GPIOs\nto make things easier on the PIO side
$Comp
L Connector_Generic:Conn_01x06 J2
U 1 1 5F3D958D
P 4950 4100
F 0 "J2" H 4870 3575 50 0000 C CNN
F 1 "Conn_01x06" H 4870 3666 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" H 4950 4100 50 0001 C CNN
F 3 "~" H 4950 4100 50 0001 C CNN
1 4950 4100
-1 0 0 1
$EndComp
$Comp
L Connector_Generic:Conn_01x02 J3
U 1 1 5F3D9CD2
P 5750 4300
F 0 "J3" H 5750 4000 50 0000 C CNN
F 1 "Conn_01x02" H 5800 4100 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 5750 4300 50 0001 C CNN
F 3 "~" H 5750 4300 50 0001 C CNN
1 5750 4300
-1 0 0 1
$EndComp
Wire Wire Line
5950 4300 6000 4300
Wire Wire Line
6000 4300 6000 4350
$Comp
L power:GND #PWR0109
U 1 1 5F3DA468
P 6000 4350
F 0 "#PWR0109" H 6000 4100 50 0001 C CNN
F 1 "GND" H 6005 4177 50 0000 C CNN
F 2 "" H 6000 4350 50 0001 C CNN
F 3 "" H 6000 4350 50 0001 C CNN
1 6000 4350
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0112
U 1 1 5F3DA4DE
P 6000 4150
F 0 "#PWR0112" H 6000 4000 50 0001 C CNN
F 1 "+3V3" H 6015 4323 50 0000 C CNN
F 2 "" H 6000 4150 50 0001 C CNN
F 3 "" H 6000 4150 50 0001 C CNN
1 6000 4150
1 0 0 -1
$EndComp
Wire Wire Line
5950 4200 6000 4200
Wire Wire Line
6000 4200 6000 4150
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,616 @@
(kicad_pcb (version 20171130) (host pcbnew 5.0.2-bee76a0~70~ubuntu18.04.1)
(general
(thickness 1.6)
(drawings 8)
(tracks 56)
(zones 0)
(modules 7)
(nets 11)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user hide)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user hide)
(49 F.Fab user hide)
)
(setup
(last_trace_width 0.15)
(user_trace_width 0.2)
(user_trace_width 0.3)
(user_trace_width 0.5)
(user_trace_width 1)
(trace_clearance 0.15)
(zone_clearance 0.15)
(zone_45_only yes)
(trace_min 0.15)
(segment_width 0.2)
(edge_width 0.15)
(via_size 0.6)
(via_drill 0.3)
(via_min_size 0.6)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1.524 1.524)
(pad_drill 0.762)
(pad_to_mask_clearance 0.051)
(solder_mask_min_width 0.25)
(aux_axis_origin 0 0)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions false)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory ""))
)
(net 0 "")
(net 1 +3V3)
(net 2 GND)
(net 3 /SD0)
(net 4 /SCK)
(net 5 /SD1)
(net 6 /~CS~)
(net 7 /SD2)
(net 8 /BUTTON_B)
(net 9 /SD3)
(net 10 /BUTTON_A)
(net_class Default "This is the default net class."
(clearance 0.15)
(trace_width 0.15)
(via_dia 0.6)
(via_drill 0.3)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net +3V3)
(add_net /BUTTON_A)
(add_net /BUTTON_B)
(add_net /SCK)
(add_net /SD0)
(add_net /SD1)
(add_net /SD2)
(add_net /SD3)
(add_net /~CS~)
(add_net GND)
)
(module Capacitor_SMD:C_0402_1005Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5F550E4F)
(at 125 102.25)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F3D23D2)
(attr smd)
(fp_text reference C1 (at 0 -1.17) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100n (at 0 1.17) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.5 0.25) (end -0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.5 -0.25) (end 0.5 -0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 -0.25) (end 0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start 0.5 0.25) (end -0.5 0.25) (layer F.Fab) (width 0.1))
(fp_line (start -0.93 0.47) (end -0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.93 -0.47) (end 0.93 -0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 -0.47) (end 0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.93 0.47) (end -0.93 0.47) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.25 0.25) (thickness 0.04)))
)
(pad 1 smd roundrect (at -0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 +3V3))
(pad 2 smd roundrect (at 0.485 0) (size 0.59 0.64) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0402_1005Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal (layer F.Cu) (tedit 5A19A42C) (tstamp 5F550EB3)
(at 115 92)
(descr "Through hole angled socket strip, 2x06, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated")
(tags "Through hole angled socket strip THT 2x06 2.54mm double row")
(path /5F3D11ED)
(fp_text reference J1 (at -5.65 -2.77) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 2.54mm_2x06 (at -5.65 15.47) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -12.57 -1.27) (end -5.03 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -5.03 -1.27) (end -4.06 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 -0.3) (end -4.06 13.97) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 13.97) (end -12.57 13.97) (layer F.Fab) (width 0.1))
(fp_line (start -12.57 13.97) (end -12.57 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 0 -0.3) (end -4.06 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 0.3) (end 0 0.3) (layer F.Fab) (width 0.1))
(fp_line (start 0 0.3) (end 0 -0.3) (layer F.Fab) (width 0.1))
(fp_line (start 0 2.24) (end -4.06 2.24) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 2.84) (end 0 2.84) (layer F.Fab) (width 0.1))
(fp_line (start 0 2.84) (end 0 2.24) (layer F.Fab) (width 0.1))
(fp_line (start 0 4.78) (end -4.06 4.78) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 5.38) (end 0 5.38) (layer F.Fab) (width 0.1))
(fp_line (start 0 5.38) (end 0 4.78) (layer F.Fab) (width 0.1))
(fp_line (start 0 7.32) (end -4.06 7.32) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 7.92) (end 0 7.92) (layer F.Fab) (width 0.1))
(fp_line (start 0 7.92) (end 0 7.32) (layer F.Fab) (width 0.1))
(fp_line (start 0 9.86) (end -4.06 9.86) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 10.46) (end 0 10.46) (layer F.Fab) (width 0.1))
(fp_line (start 0 10.46) (end 0 9.86) (layer F.Fab) (width 0.1))
(fp_line (start 0 12.4) (end -4.06 12.4) (layer F.Fab) (width 0.1))
(fp_line (start -4.06 13) (end 0 13) (layer F.Fab) (width 0.1))
(fp_line (start 0 13) (end 0 12.4) (layer F.Fab) (width 0.1))
(fp_line (start -12.63 -1.21) (end -4 -1.21) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -1.091905) (end -4 -1.091905) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.97381) (end -4 -0.97381) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.855715) (end -4 -0.855715) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.73762) (end -4 -0.73762) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.619525) (end -4 -0.619525) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.50143) (end -4 -0.50143) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.383335) (end -4 -0.383335) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.26524) (end -4 -0.26524) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.147145) (end -4 -0.147145) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -0.02905) (end -4 -0.02905) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.089045) (end -4 0.089045) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.20714) (end -4 0.20714) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.325235) (end -4 0.325235) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.44333) (end -4 0.44333) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.561425) (end -4 0.561425) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.67952) (end -4 0.67952) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.797615) (end -4 0.797615) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 0.91571) (end -4 0.91571) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 1.033805) (end -4 1.033805) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 1.1519) (end -4 1.1519) (layer F.SilkS) (width 0.12))
(fp_line (start -4 -0.36) (end -3.59 -0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 -0.36) (end -1.11 -0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -4 0.36) (end -3.59 0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 0.36) (end -1.11 0.36) (layer F.SilkS) (width 0.12))
(fp_line (start -4 2.18) (end -3.59 2.18) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 2.18) (end -1.05 2.18) (layer F.SilkS) (width 0.12))
(fp_line (start -4 2.9) (end -3.59 2.9) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 2.9) (end -1.05 2.9) (layer F.SilkS) (width 0.12))
(fp_line (start -4 4.72) (end -3.59 4.72) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 4.72) (end -1.05 4.72) (layer F.SilkS) (width 0.12))
(fp_line (start -4 5.44) (end -3.59 5.44) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 5.44) (end -1.05 5.44) (layer F.SilkS) (width 0.12))
(fp_line (start -4 7.26) (end -3.59 7.26) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 7.26) (end -1.05 7.26) (layer F.SilkS) (width 0.12))
(fp_line (start -4 7.98) (end -3.59 7.98) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 7.98) (end -1.05 7.98) (layer F.SilkS) (width 0.12))
(fp_line (start -4 9.8) (end -3.59 9.8) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 9.8) (end -1.05 9.8) (layer F.SilkS) (width 0.12))
(fp_line (start -4 10.52) (end -3.59 10.52) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 10.52) (end -1.05 10.52) (layer F.SilkS) (width 0.12))
(fp_line (start -4 12.34) (end -3.59 12.34) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 12.34) (end -1.05 12.34) (layer F.SilkS) (width 0.12))
(fp_line (start -4 13.06) (end -3.59 13.06) (layer F.SilkS) (width 0.12))
(fp_line (start -1.49 13.06) (end -1.05 13.06) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 1.27) (end -4 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 3.81) (end -4 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 6.35) (end -4 6.35) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 8.89) (end -4 8.89) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 11.43) (end -4 11.43) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -1.33) (end -4 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -4 -1.33) (end -4 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 14.03) (end -4 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -12.63 -1.33) (end -12.63 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 1.11 -1.33) (end 1.11 0) (layer F.SilkS) (width 0.12))
(fp_line (start 0 -1.33) (end 1.11 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 -1.8) (end -13.05 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -13.05 -1.8) (end -13.05 14.45) (layer F.CrtYd) (width 0.05))
(fp_line (start -13.05 14.45) (end 1.8 14.45) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 14.45) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at -8.315 6.35 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 3 /SD0))
(pad 2 thru_hole oval (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 /SCK))
(pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 /SD1))
(pad 4 thru_hole oval (at -2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 /~CS~))
(pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 /SD2))
(pad 6 thru_hole oval (at -2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 /BUTTON_B))
(pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 /SD3))
(pad 8 thru_hole oval (at -2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 10 /BUTTON_A))
(pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 GND))
(pad 10 thru_hole oval (at -2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 GND))
(pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 +3V3))
(pad 12 thru_hole oval (at -2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 +3V3))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x06_P2.54mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module picodvi:SW_SPST_PTS815 (layer F.Cu) (tedit 5F30922F) (tstamp 5F550EEB)
(at 123.25 93 90)
(descr "C&K Components, PTS 810 Series, Microminiature SMT Top Actuated, http://www.ckswitches.com/media/1476/pts810.pdf")
(tags "SPST Button Switch")
(path /5F3D5B10)
(attr smd)
(fp_text reference SW1 (at 0 -2.6 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SW_Push (at 0 2.6 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.85 -1.85) (end 2.85 -1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.85 1.85) (end -2.85 -1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 1.85) (end -2.85 1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 -1.85) (end 2.85 1.85) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.6 0.6) (thickness 0.09)))
)
(fp_line (start 2.2 -1.58) (end 2.2 -1.7) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 0.57) (end 2.2 -0.57) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 1.7) (end 2.2 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 1.7) (end 2.2 1.7) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 1.58) (end -2.2 1.7) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 -0.57) (end -2.2 0.57) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 -1.7) (end -2.2 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 -1.7) (end -2.2 -1.7) (layer F.SilkS) (width 0.12))
(fp_line (start 0.4 1.1) (end -0.4 1.1) (layer F.Fab) (width 0.1))
(fp_line (start -0.4 -1.1) (end 0.4 -1.1) (layer F.Fab) (width 0.1))
(fp_arc (start -0.4 0) (end -0.4 1.1) (angle 180) (layer F.Fab) (width 0.1))
(fp_line (start -2.1 1.6) (end 2.1 1.6) (layer F.Fab) (width 0.1))
(fp_line (start -2.1 -1.6) (end -2.1 1.6) (layer F.Fab) (width 0.1))
(fp_line (start 2.1 -1.6) (end -2.1 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 2.1 1.6) (end 2.1 -1.6) (layer F.Fab) (width 0.1))
(fp_arc (start 0.4 0) (end 0.4 -1.1) (angle 180) (layer F.Fab) (width 0.1))
(pad "" smd rect (at -2.075 -1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at 2.075 -1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask)
(net 10 /BUTTON_A))
(pad 2 smd rect (at -2.075 1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 2 smd rect (at 2.075 1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPST_PTS810.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module picodvi:SW_SPST_PTS815 (layer F.Cu) (tedit 5F30922F) (tstamp 5F550F08)
(at 127.25 93 90)
(descr "C&K Components, PTS 810 Series, Microminiature SMT Top Actuated, http://www.ckswitches.com/media/1476/pts810.pdf")
(tags "SPST Button Switch")
(path /5F3D60DA)
(attr smd)
(fp_text reference SW2 (at 0 -2.6 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SW_Push (at 0 2.6 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_arc (start 0.4 0) (end 0.4 -1.1) (angle 180) (layer F.Fab) (width 0.1))
(fp_line (start 2.1 1.6) (end 2.1 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 2.1 -1.6) (end -2.1 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start -2.1 -1.6) (end -2.1 1.6) (layer F.Fab) (width 0.1))
(fp_line (start -2.1 1.6) (end 2.1 1.6) (layer F.Fab) (width 0.1))
(fp_arc (start -0.4 0) (end -0.4 1.1) (angle 180) (layer F.Fab) (width 0.1))
(fp_line (start -0.4 -1.1) (end 0.4 -1.1) (layer F.Fab) (width 0.1))
(fp_line (start 0.4 1.1) (end -0.4 1.1) (layer F.Fab) (width 0.1))
(fp_line (start 2.2 -1.7) (end -2.2 -1.7) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 -1.7) (end -2.2 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 -0.57) (end -2.2 0.57) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 1.58) (end -2.2 1.7) (layer F.SilkS) (width 0.12))
(fp_line (start -2.2 1.7) (end 2.2 1.7) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 1.7) (end 2.2 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 0.57) (end 2.2 -0.57) (layer F.SilkS) (width 0.12))
(fp_line (start 2.2 -1.58) (end 2.2 -1.7) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.6 0.6) (thickness 0.09)))
)
(fp_line (start 2.85 -1.85) (end 2.85 1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.85 1.85) (end -2.85 1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.85 1.85) (end -2.85 -1.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.85 -1.85) (end 2.85 -1.85) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 2.075 1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 2 smd rect (at -2.075 1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at 2.075 -1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask)
(net 8 /BUTTON_B))
(pad "" smd rect (at -2.075 -1.075 90) (size 1.05 0.65) (layers F.Cu F.Paste F.Mask))
(model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_SPST_PTS810.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer F.Cu) (tedit 5A02F2D3) (tstamp 5F551562)
(at 125 99 180)
(descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf)")
(tags "SOIC 1.27")
(path /5F3D1B45)
(attr smd)
(fp_text reference U1 (at 0 -3.5 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value LY68L6400 (at 0 3.5 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer F.Fab) (width 0.1))
(fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer F.Fab) (width 0.1))
(fp_line (start 1.95 2.45) (end -1.95 2.45) (layer F.Fab) (width 0.1))
(fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer F.Fab) (width 0.1))
(fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer F.Fab) (width 0.1))
(fp_line (start -3.73 -2.7) (end -3.73 2.7) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.73 -2.7) (end 3.73 2.7) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.73 2.7) (end 3.73 2.7) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.075 -2.575) (end -2.075 -2.525) (layer F.SilkS) (width 0.15))
(fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer F.SilkS) (width 0.15))
(fp_line (start 2.075 2.575) (end 2.075 2.43) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 2.575) (end -2.075 2.43) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 2.575) (end 2.075 2.575) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 -2.525) (end -3.475 -2.525) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.7 -1.905 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 6 /~CS~))
(pad 2 smd rect (at -2.7 -0.635 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 5 /SD1))
(pad 3 smd rect (at -2.7 0.635 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 7 /SD2))
(pad 4 smd rect (at -2.7 1.905 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 5 smd rect (at 2.7 1.905 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 3 /SD0))
(pad 6 smd rect (at 2.7 0.635 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 4 /SCK))
(pad 7 smd rect (at 2.7 -0.635 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 9 /SD3))
(pad 8 smd rect (at 2.7 -1.905 180) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 1 +3V3))
(model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5F551BDB)
(at 125.29 104.75 270)
(descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x02 2.54mm single row")
(path /5F3D9CD2)
(fp_text reference J3 (at 0 -2.33 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x02 (at 0 4.87 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 3.81) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 4.35) (end 1.8 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 4.35) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 1.27) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 GND))
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 +3V3))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5F551C6D)
(at 97.4 85.6)
(descr "Through hole straight pin header, 1x06, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x06 2.54mm single row")
(path /5F3D958D)
(fp_text reference J2 (at 0 -2.33) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x06 (at 0 15.03) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 13.97) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 13.97) (end -1.27 13.97) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 13.97) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 14.03) (end 1.33 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 14.5) (end 1.8 14.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 14.5) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 6.35 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 /SCK))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 /~CS~))
(pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 3 /SD0))
(pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 /SD1))
(pad 5 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 /SD2))
(pad 6 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 /SD3))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(gr_arc (start 112 91) (end 112 90) (angle -90) (layer Edge.Cuts) (width 0.15))
(gr_arc (start 112 105.75) (end 111 105.75) (angle -90) (layer Edge.Cuts) (width 0.15))
(gr_arc (start 129 105.75) (end 129 106.75) (angle -90) (layer Edge.Cuts) (width 0.15))
(gr_arc (start 129 91) (end 130 91) (angle -90) (layer Edge.Cuts) (width 0.15))
(gr_line (start 111 105.75) (end 111 91) (layer Edge.Cuts) (width 0.15))
(gr_line (start 129 106.75) (end 112 106.75) (layer Edge.Cuts) (width 0.15))
(gr_line (start 130 91) (end 130 105.75) (layer Edge.Cuts) (width 0.15))
(gr_line (start 112 90) (end 129 90) (layer Edge.Cuts) (width 0.15))
(segment (start 112.46 104.7) (end 115 104.7) (width 1) (layer F.Cu) (net 1))
(segment (start 121.900001 105.599999) (end 122.75 104.75) (width 0.5) (layer F.Cu) (net 1))
(segment (start 121.549999 105.950001) (end 121.900001 105.599999) (width 0.5) (layer F.Cu) (net 1))
(segment (start 116.250001 105.950001) (end 121.549999 105.950001) (width 0.5) (layer F.Cu) (net 1))
(segment (start 115 104.7) (end 116.250001 105.950001) (width 0.5) (layer F.Cu) (net 1))
(segment (start 122.75 104.75) (end 122.75 103.145) (width 0.5) (layer F.Cu) (net 1))
(segment (start 124.515 102.25) (end 123.645 102.25) (width 0.5) (layer F.Cu) (net 1) (tstamp 5F552CAA))
(segment (start 122.75 103.145) (end 123.645 102.25) (width 0.5) (layer F.Cu) (net 1))
(segment (start 123.645 102.25) (end 122.3 100.905) (width 0.5) (layer F.Cu) (net 1))
(segment (start 121.375 97.095) (end 122.3 97.095) (width 0.15) (layer F.Cu) (net 3))
(segment (start 115 93) (end 119.095 97.095) (width 0.15) (layer F.Cu) (net 3))
(segment (start 119.095 97.095) (end 121.375 97.095) (width 0.15) (layer F.Cu) (net 3))
(segment (start 115 92) (end 115 93) (width 0.15) (layer F.Cu) (net 3))
(segment (start 123.635 98.365) (end 122.3 98.365) (width 0.15) (layer F.Cu) (net 4))
(segment (start 123.9 97.214998) (end 123.9 98.1) (width 0.15) (layer F.Cu) (net 4))
(segment (start 123.9 98.1) (end 123.635 98.365) (width 0.15) (layer F.Cu) (net 4))
(segment (start 123.255001 96.569999) (end 123.9 97.214998) (width 0.15) (layer F.Cu) (net 4))
(segment (start 113.535001 90.924999) (end 116.030001 90.924999) (width 0.15) (layer F.Cu) (net 4))
(segment (start 112.46 92) (end 113.535001 90.924999) (width 0.15) (layer F.Cu) (net 4))
(segment (start 120 95.4) (end 121.169999 96.569999) (width 0.15) (layer F.Cu) (net 4))
(segment (start 121.169999 96.569999) (end 123.255001 96.569999) (width 0.15) (layer F.Cu) (net 4))
(segment (start 116.030001 90.924999) (end 120 94.894998) (width 0.15) (layer F.Cu) (net 4))
(segment (start 120 94.894998) (end 120 95.4) (width 0.15) (layer F.Cu) (net 4))
(segment (start 119.560001 99.100001) (end 126.240001 99.100001) (width 0.15) (layer F.Cu) (net 5))
(segment (start 126.775 99.635) (end 127.7 99.635) (width 0.15) (layer F.Cu) (net 5))
(segment (start 126.240001 99.100001) (end 126.775 99.635) (width 0.15) (layer F.Cu) (net 5))
(segment (start 115 94.54) (end 119.560001 99.100001) (width 0.15) (layer F.Cu) (net 5))
(segment (start 114.434739 101.07002) (end 116.57002 101.07002) (width 0.15) (layer F.Cu) (net 6))
(segment (start 112.46 94.54) (end 113.624988 95.704988) (width 0.15) (layer F.Cu) (net 6))
(segment (start 113.624988 95.704988) (end 113.624988 100.260269) (width 0.15) (layer F.Cu) (net 6))
(segment (start 113.624988 100.260269) (end 114.434739 101.07002) (width 0.15) (layer F.Cu) (net 6))
(via (at 121.8 103.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 6))
(segment (start 116.57002 101.07002) (end 118.9 103.4) (width 0.15) (layer F.Cu) (net 6))
(segment (start 118.9 103.4) (end 121.8 103.4) (width 0.15) (layer F.Cu) (net 6))
(via (at 123.6 103.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 6))
(segment (start 121.8 103.4) (end 123.6 103.4) (width 0.15) (layer B.Cu) (net 6))
(segment (start 127.7 102.1) (end 127.7 100.905) (width 0.15) (layer F.Cu) (net 6))
(segment (start 123.6 103.4) (end 126.4 103.4) (width 0.15) (layer F.Cu) (net 6))
(segment (start 126.4 103.4) (end 127.7 102.1) (width 0.15) (layer F.Cu) (net 6))
(segment (start 115.650723 100.695001) (end 115.725731 100.770009) (width 0.15) (layer F.Cu) (net 7))
(segment (start 114.483999 100.695001) (end 115.650723 100.695001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 115.725731 100.770009) (end 120.954989 100.770009) (width 0.15) (layer F.Cu) (net 7))
(segment (start 128.655001 100.160001) (end 128.700001 100.115001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 128.175 98.365) (end 127.7 98.365) (width 0.15) (layer F.Cu) (net 7))
(segment (start 115 98.028998) (end 113.924999 99.103999) (width 0.15) (layer F.Cu) (net 7))
(segment (start 120.954989 100.770009) (end 121.564997 100.160001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 113.924999 100.136001) (end 114.483999 100.695001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 128.700001 98.890001) (end 128.175 98.365) (width 0.15) (layer F.Cu) (net 7))
(segment (start 113.924999 99.103999) (end 113.924999 100.136001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 115 97.08) (end 115 98.028998) (width 0.15) (layer F.Cu) (net 7))
(segment (start 121.564997 100.160001) (end 128.655001 100.160001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 128.700001 100.115001) (end 128.700001 98.890001) (width 0.15) (layer F.Cu) (net 7))
(segment (start 115.849999 100.469999) (end 119.530001 100.469999) (width 0.15) (layer F.Cu) (net 9))
(segment (start 115 99.62) (end 115.849999 100.469999) (width 0.15) (layer F.Cu) (net 9))
(segment (start 120.365 99.635) (end 122.3 99.635) (width 0.15) (layer F.Cu) (net 9))
(segment (start 119.530001 100.469999) (end 120.365 99.635) (width 0.15) (layer F.Cu) (net 9))
(zone (net 2) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.15))
(min_thickness 0.15)
(fill (arc_segments 16) (thermal_gap 0.15) (thermal_bridge_width 0.2))
(polygon
(pts
(xy 110 89) (xy 131 89) (xy 131 108) (xy 110 108)
)
)
)
(zone (net 2) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.15))
(min_thickness 0.15)
(fill (arc_segments 16) (thermal_gap 0.15) (thermal_bridge_width 0.2))
(polygon
(pts
(xy 109 88) (xy 132 88) (xy 132 109) (xy 109 109)
)
)
)
)

Some files were not shown because too many files have changed in this diff Show More